tag:blogger.com,1999:blog-43450513636858704512024-03-13T19:30:38.464-07:00blog tugasMuhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.comBlogger48125tag:blogger.com,1999:blog-4345051363685870451.post-58403686972401848572012-06-25T11:25:00.000-07:002012-06-25T11:25:36.964-07:00Konversi System Bilangan pada Android<br />
<div class="MsoNormal" style="line-height: 150%; text-align: justify;">
<b style="mso-bidi-font-weight: normal;"><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Perancangan
Program</span></b></div>
<div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Dalam membuat program aplikasi Konversi Bilangan ini
pertama kali yang dibuat adalah diagram alur atau flowchart dari program
tersebut, berikut adalah flowchart dari program aplikasi Konversi Bilangan</span><br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEixG8jxf61QHkMbwgsyDAFCcFm0uzBpEka1CAE05eDKC1qU3Ib49mpzeU-cgQWiR3dp3FKMSPTHVyXG_lbIwpwrSZfhBOKaeeZcMqBHH3FVCKdsWKD9OLlox2EAK9IXTmV0qd-qMohb0ZU/s1600/flowchart.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="270" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEixG8jxf61QHkMbwgsyDAFCcFm0uzBpEka1CAE05eDKC1qU3Ib49mpzeU-cgQWiR3dp3FKMSPTHVyXG_lbIwpwrSZfhBOKaeeZcMqBHH3FVCKdsWKD9OLlox2EAK9IXTmV0qd-qMohb0ZU/s320/flowchart.jpg" width="320" /></a></div>
</div>
<div align="center" class="MsoNormal" style="line-height: 150%; text-align: center;">
<span class="apple-style-span"><b style="mso-bidi-font-weight: normal;"><i style="mso-bidi-font-style: normal;"><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Flowchart</span></i></b></span></div>
<div class="MsoNormal" style="line-height: 150%; text-align: justify;">
<span class="apple-style-span"><b style="mso-bidi-font-weight: normal;"><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">3.2.
</span></b></span><b><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Instalasi SDK Android</span></b><span class="apple-style-span"><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: justify; text-indent: .25in;">
<span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Dalam melakukan
instalasi SDK Android, pastikan seluruh paket yang diperlukan telah ada,
paket-paket tersebut adalah : </span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<ul style="margin-top: 0in;" type="disc">
<li class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; mso-list: l5 level1 lfo2; text-align: justify;"><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Paket
JDK yaitu jdk-6u26-windows-i586-p.exe </span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></li>
<li class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; mso-list: l5 level1 lfo2; text-align: justify;"><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Paket
SDK yaitu Android-sdk_r05-windows.zip </span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></li>
<li class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; mso-list: l5 level1 lfo2; text-align: justify;"><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Paket
Eclipse Galileo yaitu eclipse –jee-galileo-win32.zip </span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></li>
<li class="MsoNormal" style="line-height: 150%; mso-list: l5 level1 lfo2; text-align: justify;"><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Paket
Plugin ADT yaitu ADT-0.9.6.zip </span></li>
</ul>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: justify; text-indent: .25in;">
<span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Nah sekarang,
mari kita lakukan proses instalisasi semua paket yang di perlukan, dengan catatan
paket JDK (<i>java) </i>telah terinstal terlebih dalu di komputer anda. </span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l3 level1 lfo3; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Buatlah sebuah folder
baru untuk tempat melakukan instalisasi semua paket dan paket tersebut kita
letakkan di dalam folder baru yang kita buat. Misalnya <b>C:\android </b><i>(folder
baru)</i>, tempatkan paket SDK dalam folder android lalu ekstrak file <i>Android-sdk_r05-windows.zip</i>,
lalu rename hingga menjadi <b>C:\android\android_sdk\. </b></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l3 level1 lfo3; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Ekstrak file <i>ADTPlugin.zip
</i>lalu tempatkan pada folder kerja tersebut, lalu rename sehingga menjadi <b>C:\android\ADTPlugin\.
</b></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l3 level1 lfo3; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Ekstrak file <i>eclipse
–jee-galileo-win32.zip </i>lalu tempatkan pada folder kerja tersebut, lalu
rename sehingga menjadi <b>C:\android\eclipse_galileo\ </b></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l3 level1 lfo3; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Nah, setelah selesai
ekstraksi paket, dengan menggunakan Windows Explorer klik ganda file <b>C:\android\eclipse_galileo\eclipse.exe
</b>lalu akan muncul seperti gambar berikut : </span><br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEijTw4_vx_4mu3ysGeD2aQV07EC9s3fm54WGAtr0XDc5BJDcsv_o-W3yKu9nSb3Ek8DJ3-LT4ftuj307DkC8wS3kOD5w0YXW3tUMIdEcJL-gAZX71LYKhcPJqgP4NJ6mc1xWDK0GxucKyI/s1600/eclipse.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="206" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEijTw4_vx_4mu3ysGeD2aQV07EC9s3fm54WGAtr0XDc5BJDcsv_o-W3yKu9nSb3Ek8DJ3-LT4ftuj307DkC8wS3kOD5w0YXW3tUMIdEcJL-gAZX71LYKhcPJqgP4NJ6mc1xWDK0GxucKyI/s320/eclipse.jpg" width="320" /></a></div>
</div>
<div align="center" class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; text-align: center;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div align="center" class="MsoNormal" style="line-height: 150%; text-align: center;">
<span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Gambar
</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">2</span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">.</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">1</span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> : Tampilan Loading
Screen Eclipse</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: justify; text-indent: .5in;">
<span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Gambar tersebut
merupakan tampilan loading screen eclipse, tunggu hingga proses bar penuh. </span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l2 level1 lfo4; text-align: justify; text-indent: -.25in;">
<span lang="IN" style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Instalasi plugin
android, dengan cara klik menu <b>Help – Install New Software </b>seperti
gambar berikut : </span><br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgTtY0y4bfLf4OS1aSUqVoxz7r7nDI6eMZGAqQ_B-PBKR4idmN777SRamN8je87zz-4Wrw6vmNVdlsbcbHuW794WoAby4pB2OW0J1j1NVkWLaH8X-6WMitzjNxk0E20EV8PHrslw7Zl3uM/s1600/Cara+Instal+Plugin+Android.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="166" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgTtY0y4bfLf4OS1aSUqVoxz7r7nDI6eMZGAqQ_B-PBKR4idmN777SRamN8je87zz-4Wrw6vmNVdlsbcbHuW794WoAby4pB2OW0J1j1NVkWLaH8X-6WMitzjNxk0E20EV8PHrslw7Zl3uM/s320/Cara+Instal+Plugin+Android.jpg" width="320" /></a></div>
</div>
<div align="center" class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: center;">
<span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div align="center" class="MsoNormal" style="line-height: 150%; text-align: center;">
<span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Gambar
</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">2.2</span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> : Cara Instal Plugin
Android</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l2 level1 lfo4; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Selanjutnya klik tombol
Add. Pada file name, ketik Android Plugin. Klik tombol archive dan akan muncul
jendela browser, lalu pilih file <b>C:\android\ADTPlugin\ADT-0.9.6.zip </b>yang
telah kita simpan pada folder </span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> </span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">android tadi, sehingga
lokasi file tersebut tertulis pada field location. Lalu klik ok. Lihat gambar
berikut. </span><br />
<div style="text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiNUH_YCHMbRQUNthamY40Jl4j-X23MNYJdzHj3VNgnSfllVvN__BatBA-9mB_GLnkQp8TnW_WT-5jCLLmmfxub7XHrm7EkOwrmL9FXGnqwI6KAJ8fH-AfgCCP45avwh4rzHUhlw5qFy38/s1600/Menambahkan+ADTPlugin,+ADT-0.9.6.zip.jpg" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="132" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiNUH_YCHMbRQUNthamY40Jl4j-X23MNYJdzHj3VNgnSfllVvN__BatBA-9mB_GLnkQp8TnW_WT-5jCLLmmfxub7XHrm7EkOwrmL9FXGnqwI6KAJ8fH-AfgCCP45avwh4rzHUhlw5qFy38/s320/Menambahkan+ADTPlugin,+ADT-0.9.6.zip.jpg" width="320" /></a><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> </span></div>
<div style="text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj7uoG8mlrjTJDUUQlOx4KwiDRvBhnQ-CDolgLfkoIMX9ky-yVktTgp0jJoUwLZ17vvO5mgT3vFa-EW5fZhlIPHSc-URZrqyMiOjbmaTLK2VYxN_uQoGeniqfSjLIBJX5JMSiV-yd7a3Io/s1600/Langkah-langkah+Installasi+SDK+Android.jpg" style="margin-left: 1em; margin-right: 1em;"></a><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> </span></div>
</div>
<div align="center" class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: center;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div align="center" class="MsoNormal" style="line-height: 150%; text-align: center;">
<span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Gambar
</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">2.3</span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">: Menambahkan
ADTPlugin, ADT-0.9.6.zip</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l2 level1 lfo4; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Selanjutnya akan muncul
hasil instalasi dan dapat dilihat pada gambar berikut. Beri tanda cek pada
developer tools, sehingga seluruh childnya juga diberi tanda cek. Untuk bagian
ini perlu di ingat agar koneksi internet di putus, sebab pada proses instalasi
tidak secara otomatis melakukan update atau mendownload versi paket yang lain.
Klik next dan finish tunggu hingga proses instal selesai lalukan restart. </span><br />
<div style="text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEguCgBLAH5wJSz5IQ-BAkgPLXO4lDEfmbhDMG7Iz2S5O7KrZd76JPdl3ANznkTojKQB63JhlTI6F6jYFfrWjJ9OrTtgFYtwV5UkGFWkl5XfzvZ2WfGpyFCgw7SsrFndRwPKLcSMoOYe6QU/s1600/Membuat+Direktori+Workspace.jpg" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="170" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEguCgBLAH5wJSz5IQ-BAkgPLXO4lDEfmbhDMG7Iz2S5O7KrZd76JPdl3ANznkTojKQB63JhlTI6F6jYFfrWjJ9OrTtgFYtwV5UkGFWkl5XfzvZ2WfGpyFCgw7SsrFndRwPKLcSMoOYe6QU/s320/Membuat+Direktori+Workspace.jpg" width="320" /></a><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> </span></div>
</div>
<div align="center" class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: center;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div align="center" class="MsoNormal" style="line-height: 150%; text-align: center;">
<span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Gambar
</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">2.4</span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> : Memilih Developer
Tools</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l2 level1 lfo4; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Setelah eclipse
restart, akan muncul kotak dialog workspace lancher yang menyatakan workspace
yang akan digunakan sebagai pembuatan proyek nantinya. Dengan menggunakan
windows explorer buat lah sebuah folder baru dengan nama latihan atau klik
browse akan tampil <b>Select Workspace Directory </b>klik Make New Folder lalu
rename dengan nama latihan, pilih ok dan ok lagi. Seperti gambar berikut : </span><br />
<div style="text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEh5aotW7AhJtnmSPibeVM40eKhMerMVtRpMPYu1ZWyR2QRKQc2UUmTzjhKpm9P-Rv-btiQQQ7u5cNIN8Gvup0CshtUkCXXGusYLbIFfBA068rFHVROZhCNoPihUO-K9AhxIvfKx2vkzj2U/s1600/Memilih+Developer+Tools.jpg" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="251" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEh5aotW7AhJtnmSPibeVM40eKhMerMVtRpMPYu1ZWyR2QRKQc2UUmTzjhKpm9P-Rv-btiQQQ7u5cNIN8Gvup0CshtUkCXXGusYLbIFfBA068rFHVROZhCNoPihUO-K9AhxIvfKx2vkzj2U/s320/Memilih+Developer+Tools.jpg" width="320" /></a><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> </span></div>
</div>
<div align="center" class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: center;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div align="center" class="MsoNormal" style="line-height: 150%; text-align: center;">
<span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Gambar
</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">2.5</span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> : Membuat Direktori
Workspace</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l2 level1 lfo4; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Selanjutnya eclipse
menampilkan loading screen. Tunggu hingga proses bar selesai. </span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l2 level1 lfo4; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Nah, untuk tahap ini
sekarang kita melakukan instalasi SDK Android, setelah Java EE – Eclipse
terbuka, pilih menu window – klik preferences seperti gambar berikut : </span><br />
<div style="text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEg_yq7VL3CwUKpi0F5b2hJ8Jj17w-vKV16Fv3i3WK5GcyjQYwKb5vLS8J7qMHw8hhJ5a4SYJBe9YPJr9aT78c-KfMiiWx4z3uKqdueS4XSfB6iUgF2xMhtVTRWaj1X-sKovX_Pg6RLiEdA/s1600/Memilih+SDK+Android+pada+Direktori.jpg" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="275" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEg_yq7VL3CwUKpi0F5b2hJ8Jj17w-vKV16Fv3i3WK5GcyjQYwKb5vLS8J7qMHw8hhJ5a4SYJBe9YPJr9aT78c-KfMiiWx4z3uKqdueS4XSfB6iUgF2xMhtVTRWaj1X-sKovX_Pg6RLiEdA/s320/Memilih+SDK+Android+pada+Direktori.jpg" width="320" /></a><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> </span></div>
</div>
<div align="center" class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: center;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div align="center" class="MsoNormal" style="line-height: 150%; text-align: center;">
<span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Gambar
</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">2.6</span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> : Langkah-langkah
Installasi SDK Android</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l9 level1 lfo5; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Untuk mengambil SDK
Android pilih <b>Browse </b>pada SDK Location, dimana paket yang kita buat
dengan folder <b>android </b>misalnya : <b>C:\android\android_sdk\ </b>lalu
klik ok. Lihat gambar berikut :</span><br />
<div style="text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj7uoG8mlrjTJDUUQlOx4KwiDRvBhnQ-CDolgLfkoIMX9ky-yVktTgp0jJoUwLZ17vvO5mgT3vFa-EW5fZhlIPHSc-URZrqyMiOjbmaTLK2VYxN_uQoGeniqfSjLIBJX5JMSiV-yd7a3Io/s1600/Langkah-langkah+Installasi+SDK+Android.jpg" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="215" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj7uoG8mlrjTJDUUQlOx4KwiDRvBhnQ-CDolgLfkoIMX9ky-yVktTgp0jJoUwLZ17vvO5mgT3vFa-EW5fZhlIPHSc-URZrqyMiOjbmaTLK2VYxN_uQoGeniqfSjLIBJX5JMSiV-yd7a3Io/s320/Langkah-langkah+Installasi+SDK+Android.jpg" width="320" /></a><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> </span></div>
<div style="text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiNUH_YCHMbRQUNthamY40Jl4j-X23MNYJdzHj3VNgnSfllVvN__BatBA-9mB_GLnkQp8TnW_WT-5jCLLmmfxub7XHrm7EkOwrmL9FXGnqwI6KAJ8fH-AfgCCP45avwh4rzHUhlw5qFy38/s1600/Menambahkan+ADTPlugin,+ADT-0.9.6.zip.jpg" style="margin-left: 1em; margin-right: 1em;"></a><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> </span></div>
</div>
<div align="center" class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: center;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div align="center" class="MsoNormal" style="line-height: 150%; text-align: center;">
<span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Gambar
</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">2.7</span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> : Memilih SDK Android
pada Direktori</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l9 level1 lfo5; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Nah, sekarang kita akan
melakukan konfigurasi terhadap <b><i>path </i></b>lokasi android, caranya klik <b>Start
Windows </b>– <b>My Computer – Klik kanan Propertis </b>seperti gambar berikut </span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l9 level1 lfo5; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Pada <b>system
Properties </b>pilih <b>Advanced </b>– <b>Environment Variables </b></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l11 level1 lfo6; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Pada <b>Environment
Variables </b>pilih <b>Path </b>pada <b>System Variables </b>lalu klik tombol <b>Edit.
</b></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l11 level1 lfo6; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Untuk tampilan <b>Edit
System Variables, </b>tepatnya pada <b>Variable Value : </b>isilah lokasi paket
android yang kita buat sebelumnya, dengan <b>catatan </b>berikan tanda <b>;
(titik koma) </b>di akhir <b>String Variable Value </b>lalu isikan paket
android yang kita buat Misalnya, <b>;C:\android\android_sdk\ </b>, klik ok.
Lihat gambar berikut : </span><br />
<div style="text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEi5bT_OJjCeKfY9rIuXmUkpSk-X9OHUPwgF7p-IWQLTxgZ0QpbvBHp93oHXhm6YMb00qSwd7DOXVIWD1ZO6PzQyi521MmO3dsdLnNTEH825cL3rttRFhiG4pW27C41ohICQ8_aGgK4j6lM/s1600/Menetukan+Lokasi+Paket+Android.jpg" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="133" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEi5bT_OJjCeKfY9rIuXmUkpSk-X9OHUPwgF7p-IWQLTxgZ0QpbvBHp93oHXhm6YMb00qSwd7DOXVIWD1ZO6PzQyi521MmO3dsdLnNTEH825cL3rttRFhiG4pW27C41ohICQ8_aGgK4j6lM/s320/Menetukan+Lokasi+Paket+Android.jpg" width="320" /></a><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> </span></div>
</div>
<div align="center" class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: center;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div align="center" class="MsoNormal" style="line-height: 150%; text-align: center;">
<span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Gambar
</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">2.8</span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> : Menetukan Lokasi
Paket Android</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l6 level1 lfo7; text-align: justify; text-indent: -.25in;">
<span lang="IN" style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Setelah <b>Edit System
Variables </b>selesai,pada <b>Environment Variables </b>klik ok. </span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l6 level1 lfo7; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Setelah selesai
melakukan <b><i>path </i>SDK Android, </b>sekarang kita kembali ke menu editor <b>Java
ME – Eclipse, </b>klik tombol <b><i>Open the Android SDK and AVD Manager </i></b>yang
letaknya tepat dibawah menu <b>RUN </b>yang di tandai kotak merah seperti
gambar berikut </span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l6 level1 lfo7; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Pada tampilan <b>Android
SDK and AVD Manager </b>pilih <b>New </b>Untuk melakukan <b>AVD </b>baru. </span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l6 level1 lfo7; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Pada tampilan <b>Create
new (AVD) </b>isilah nama baru, target, sd card. Misalnya </span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in;">
<b><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Name
: froyo </span></b><b><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></b></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in;">
<b><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Target
: klik tombol combo box (tanda panah kebawah) pilih sesuai yang ada di gambar </span></b><b><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></b></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in;">
<b><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">SD
Card : klik tombol size, isikan string 500. </span></b><b><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></b></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in;">
<b><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Sesuaikan
dengan gambar dibawah, lalu klik Create AVD. </span></b><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l10 level1 lfo8; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Maka akan muncul <b>AVD
</b>baru yang kita buat dengan nama <b>froyo </b>sebelumnya, lalu select <b>froyo
</b>klik tombol <b>Start. </b></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-left: .25in; mso-list: l10 level1 lfo8; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Untuk tampilan <b>Launch
Options </b>pilih <b>Launch </b>dan tidak perlu melakukan aktivitas sama
sekali. </span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></div>
<div class="MsoNormal" style="line-height: 150%; text-align: justify;">
<br /></div>
<div class="MsoNormal" style="line-height: 150%; text-align: justify;">
<b style="mso-bidi-font-weight: normal;"><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">3.3. Proses </span></b><b style="mso-bidi-font-weight: normal;"><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Membuat
Program</span></b></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: justify; text-indent: .5in;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Untuk
membuat aplikasi konversi bilangan pada Android langkah-langkahnya adalah
sebagai berikut : </span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l8 level1 lfo9; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Buka Eclipse </span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l8 level1 lfo9; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Buatlah project
Android baru pada Eclipse dengan memilih menu pilihan <b>Android Project. </b></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l8 level1 lfo9; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Berilah nama
projek Android dengan <b>“ KonversiBilangan” </b></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l8 level1 lfo9; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Pertama untuk
merancang tampilan output aplikasi konversi bilangan buat file baru .faxml
dalam folder <b style="mso-bidi-font-weight: normal;"><i style="mso-bidi-font-style: normal;">res>layout </i></b>dengan nama <b style="mso-bidi-font-weight: normal;"><i style="mso-bidi-font-style: normal;">biner.xml</i></b>.</span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l8 level1 lfo9; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Kemudian lengkapi
script <b style="mso-bidi-font-weight: normal;"><i style="mso-bidi-font-style: normal;">biner.xml</i></b> seperti dibawah ini :</span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; text-align: justify;">
<b style="mso-bidi-font-weight: normal;"><i style="mso-bidi-font-style: normal;"><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">Script biner.xml</span></i></b></div>
<table border="1" cellpadding="0" cellspacing="0" class="MsoNormalTable" style="border-collapse: collapse; border: none; mso-border-alt: solid windowtext .5pt; mso-border-insideh: .5pt solid windowtext; mso-border-insidev: .5pt solid windowtext; mso-padding-alt: 0in 5.4pt 0in 5.4pt; mso-table-layout-alt: fixed; mso-yfti-tbllook: 1184;">
<tbody>
<tr style="mso-yfti-firstrow: yes; mso-yfti-irow: 0; mso-yfti-lastrow: yes;">
<td style="border: solid windowtext 1.0pt; mso-border-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 18.9pt;" valign="top" width="25"><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<br /></div>
<table border="1" cellpadding="0" cellspacing="0" class="MsoNormalTable" style="border-collapse: collapse; border: none; mso-padding-alt: 0in 5.4pt 0in 5.4pt; mso-table-layout-alt: fixed;">
<tbody>
<tr style="height: 124.15pt; mso-yfti-firstrow: yes; mso-yfti-irow: 0; mso-yfti-lastrow: yes;">
<td style="border: none; height: 124.15pt; padding: 0in 5.4pt 0in 5.4pt; width: .15in;" valign="top" width="14"><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<br /></div>
</td>
</tr>
</tbody></table>
<div class="MsoNormal" style="line-height: 150%; text-align: justify;">
<span class="apple-style-span"><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></span></div>
</td>
<td style="border-left: none; border: solid windowtext 1.0pt; mso-border-alt: solid windowtext .5pt; mso-border-left-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 388.75pt;" valign="top" width="518"><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">xml</span><span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">version</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"1.0"</span></i>
<span style="color: #7f007f;">encoding</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"utf-8"</span></i><span style="color: teal;">?></span></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">AbsoluteLayout</span><span style="font-family: "Courier New"; font-size: 10pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:id</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"@+id/biner"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_width</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"fill_parent"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_height</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"fill_parent"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: #7f007f; font-family: "Courier New"; font-size: 10pt;">xmlns:android</span><span style="color: black; font-family: "Courier New"; font-size: 10pt;">=</span><i><span style="color: #2a00ff; font-family: "Courier New"; font-size: 10pt;">"http://schemas.android.com/apk/res/android"</span></i><span style="color: teal; font-family: "Courier New"; font-size: 10pt;">></span><span style="font-family: "Courier New"; font-size: 10pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">TextView</span><span style="font-family: "Courier New"; font-size: 10pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_width</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"wrap_content"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_height</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"wrap_content"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:text</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"Binary
Converter"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:textSize</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"18sp"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_x</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"89dp"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_y</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"9dp"</span></i>
<span style="color: #7f007f;">android:id</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"@+id/fgsgf"</span></i><span style="color: teal;">/></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">TextView</span><span style="font-family: "Courier New"; font-size: 10pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_width</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"wrap_content"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_height</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"wrap_content"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:text</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"binary
:"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_x</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"17dp"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_y</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"49dp"</span></i>
<span style="color: #7f007f;">android:id</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"@+id/fgadgs"</span></i><span style="color: teal;">/></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">EditText</span><span style="font-family: "Courier New"; font-size: 10pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:id</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"@+id/binary"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_width</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"306px"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_height</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"wrap_content"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:textSize</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"18sp"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_x</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"7dp"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_y</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"71dp"</span></i>
<span style="color: teal;">/></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">EditText</span><span style="font-family: "Courier New"; font-size: 10pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:id</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"@+id/octal"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_width</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"306px"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_height</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"wrap_content"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:textSize</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"18sp"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_x</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"6dp"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_y</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"142dp"</span></i>
<span style="color: teal;">/></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">TextView</span><span style="font-family: "Courier New"; font-size: 10pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_width</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"wrap_content"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_height</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"18px"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:text</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"octal
:"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_x</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"19dp"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_y</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"122dp"</span></i>
<span style="color: #7f007f;">android:id</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"@+id/asdfgasdf"</span></i><span style="color: teal;">/></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">TextView</span><span style="font-family: "Courier New"; font-size: 10pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_width</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"wrap_content"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_height</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"wrap_content"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:text</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"decimal
:"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_x</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"20dp"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_y</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"192dp"</span></i>
<span style="color: #7f007f;">android:id</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"@+id/fgadfgs"</span></i><span style="color: teal;">/></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">EditText</span><span style="font-family: "Courier New"; font-size: 10pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:id</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"@+id/decimal"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_width</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"305px"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_height</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"wrap_content"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:textSize</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"18sp"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_x</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"6dp"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_y</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"213dp"</span></i>
<span style="color: teal;">/></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">EditText</span><span style="font-family: "Courier New"; font-size: 10pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:id</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"@+id/hexa"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_width</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"305px"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_height</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"wrap_content"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:textSize</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"18sp"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_x</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"6dp"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_y</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"278dp"</span></i>
<span style="color: teal;">/></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">TextView</span><span style="font-family: "Courier New"; font-size: 10pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_width</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"wrap_content"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_height</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"20px"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:text</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"hexa :"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_x</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"21dp"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_y</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"259dp"</span></i>
<span style="color: #7f007f;">android:id</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"@+id/fdgsdfg"</span></i><span style="color: teal;">/></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">Button</span><span style="font-family: "Courier New"; font-size: 10pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:id</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"@+id/convert"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_width</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"105px"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_height</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"wrap_content"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:text</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"convert"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_x</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"171dp"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:layout_y</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"329dp"</span></i>
<span style="color: teal;">/></span></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: justify;">
<span style="color: teal; font-family: "Courier New"; font-size: 10pt; line-height: 150%;"><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt; line-height: 150%;">AbsoluteLayout</span><span style="color: teal; font-family: "Courier New"; font-size: 10pt; line-height: 150%;">></span><span class="apple-style-span"><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></span></span></div>
</td>
</tr>
</tbody></table>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 12.0pt; mso-list: l7 level1 lfo10; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt;">·<span style="font: 7pt "Times New Roman";">
</span></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">Kemudian buat lagi file .xml baru untuk <b style="mso-bidi-font-weight: normal;"><i style="mso-bidi-font-style: normal;">octal.xml,
decimal.xml,</i></b> dan<b style="mso-bidi-font-weight: normal;"><i style="mso-bidi-font-style: normal;"> hexa.xml</i></b> dan lengkapi script
masing-masing xml seperti pada biner.xml untuk membuat tampilan masing-masing
konversi bilangan.</span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; text-align: justify;">
<br /></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; text-align: justify;">
<br /></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; text-align: justify;">
<br /></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l7 level1 lfo10; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Setelah selesai
membuat semua file .xml tersebut. Bukalah file <b style="mso-bidi-font-weight: normal;"><i style="mso-bidi-font-style: normal;">konversibilangan.java</i></b>
yang terletak dalam folder <b style="mso-bidi-font-weight: normal;"><i style="mso-bidi-font-style: normal;">src>com.konversi</i></b> dan lengkapi
script programnya seperti dibawah ini untuk membuat list menu utama aplikasi
konversi bilangan :</span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; text-align: justify;">
<b style="mso-bidi-font-weight: normal;"><i style="mso-bidi-font-style: normal;"><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">Script konversibilangan.java<span class="apple-style-span"></span></span></i></b></div>
<table border="1" cellpadding="0" cellspacing="0" class="MsoNormalTable" style="border-collapse: collapse; border: medium none; width: 547px;">
<tbody>
<tr style="mso-yfti-firstrow: yes; mso-yfti-irow: 0; mso-yfti-lastrow: yes;">
<td style="border: solid windowtext 1.0pt; mso-border-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 18.9pt;" valign="top" width="25"><div class="MsoNormal" style="line-height: 150%; text-align: justify;">
<br /></div>
</td>
<td style="border-left: none; border: solid windowtext 1.0pt; mso-border-alt: solid windowtext .5pt; mso-border-left-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 391.5pt;" valign="top" width="522"><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;">package com.konversi;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<br /></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;">import
android.app.ListActivity;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;">import
android.content.Intent;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;">import android.os.Bundle;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;">import android.view.View;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;">import
android.widget.ArrayAdapter;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;">import
android.widget.ListView;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;">import
android.widget.Toast;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<br /></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;">public class
konversibilangan extends ListActivity {</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<br /></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> String[] menuutama = new String[] {
"Binary Converter", "Octal Converter",</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> "Decimal
Converter","Hexadecimal Converter","Exit" };</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> String[] BinaryConverter;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> String[] OctalConverter;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> String[] DecimalConverter;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> String[] HexaConverter;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<br /></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> @Override</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> public void onCreate(Bundle icicle) {</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> super.onCreate(icicle);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> this.setListAdapter(new
ArrayAdapter<string>(this,</string></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;">
android.R.layout.simple_list_item_1, menuutama));</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> }</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<br /></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> @Override</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> protected void onListItemClick(ListView
l, View v, int position, long id) {</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> super.onListItemClick(l, v, position,
id);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> Object o = this.getListAdapter().getItem(position);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> String pilihan = o.toString();</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> </span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> if (pilihan.equals("Binary
Converter")) {</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> Intent intent = new Intent
(konversibilangan.this, BinaryConverter.class);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> startActivity(intent);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> </span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> } else if (pilihan.equals("Octal
Converter")) {</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> Intent intent1 = new Intent
(konversibilangan.this,OctalConverter.class);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> startActivity(intent1);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> </span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> } else if
(pilihan.equals("Decimal Converter")) {</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> Intent intent2 = new</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> Intent
(konversibilangan.this,DecimalConverter.class);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> startActivity(intent2);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<br /></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> } else if
(pilihan.equals("Hexadecimal Converter")) {</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> Intent intent3 = new</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> Intent
(konversibilangan.this,HexaConverter.class);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> startActivity(intent3);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<br /></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> } else if (pilihan.equals("Menu
Utama")) {</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<br /></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<br /></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> } else if
(pilihan.equals("Exit")) {</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> this.finish();</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> } else {</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> tampilkanPilihan(pilihan);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> }</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> }</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> </span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> private void tampilkanPilihan(String
pilihan) {</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> Toast.makeText(this, "Membuka
Form " + pilihan, Toast.LENGTH_LONG)</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> .show();</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> }</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;">}</span></span><span class="apple-style-span"><span style="font-family: "Times New Roman","serif"; font-size: 12pt;"></span></span></div>
</td>
</tr>
</tbody></table>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<br /></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l0 level1 lfo11; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Setelah itu
buatlah file program <b><i>.java </i></b>untuk nama masing – masing konversi
bilangan seperti <span class="apple-style-span"><b style="mso-bidi-font-weight: normal;">BinaryConverter</b></span><b style="mso-bidi-font-weight: normal;">.java <span class="apple-style-span">OctalConverter</span>.java</b> <span class="apple-style-span"><b style="mso-bidi-font-weight: normal;">DecimalConverter</b></span><b style="mso-bidi-font-weight: normal;">.java </b>dan<b style="mso-bidi-font-weight: normal;"> HexaConverter.java.</b></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: justify;">
<br /></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l0 level1 lfo11; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt;">·<span style="font: 7pt "Times New Roman";">
</span></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">Lalu lengkapi script program .java tersebut contohnya
seperti <b style="mso-bidi-font-weight: normal;"><i style="mso-bidi-font-style: normal;">Script <span class="apple-style-span">BinaryConverter</span>.java</i></b>
dibawah ini untuk memasukkan rumus masing-masing konversi bilangan</span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; text-align: justify;">
<b style="mso-bidi-font-weight: normal;"><i style="mso-bidi-font-style: normal;"><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">Script <span class="apple-style-span">BinaryConverter</span>.java<span class="apple-style-span"></span></span></i></b></div>
<table border="1" cellpadding="0" cellspacing="0" class="MsoNormalTable" style="border-collapse: collapse; border: none; mso-border-alt: solid windowtext .5pt; mso-border-insideh: .5pt solid windowtext; mso-border-insidev: .5pt solid windowtext; mso-padding-alt: 0in 5.4pt 0in 5.4pt; mso-yfti-tbllook: 1184;">
<tbody>
<tr style="mso-yfti-firstrow: yes; mso-yfti-irow: 0; mso-yfti-lastrow: yes;">
<td style="border: solid windowtext 1.0pt; mso-border-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 18.9pt;" valign="top" width="25"><div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: justify;">
<br /></div>
</td>
<td style="border-left: none; border: solid windowtext 1.0pt; mso-border-alt: solid windowtext .5pt; mso-border-left-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 388.75pt;" valign="top" width="518"><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;">package com.konversi;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<br /></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;">import
android.app.Activity;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;">import android.os.Bundle;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;">import android.view.View;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;">import
android.widget.Button;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;">import
android.widget.EditText;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<br /></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;">public class
BinaryConverter extends Activity</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;">{</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> EditText txtBinary;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> EditText txtDecimal;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> EditText txtHexa;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> EditText txtOctal;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<br /></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> public void onCreate(Bundle paramBundle)</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> {</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> super.onCreate(paramBundle);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> setContentView(R.layout.biner);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> final EditText txtBinary =
(EditText)findViewById(R.id.binary);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> final EditText txtOctal =
(EditText)findViewById(R.id.octal);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> final EditText txtDecimal =
(EditText)findViewById(R.id.decimal);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> final EditText txtHexa =
(EditText)findViewById(R.id.hexa);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> Button convert =
(Button)findViewById(R.id.convert);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> </span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> convert.setOnClickListener(new
View.OnClickListener() { </span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> public
void onClick(View v) {</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> try</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> {</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> String str1 =
txtBinary.getText().toString();</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> int i = Integer.parseInt(str1, 2);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> txtDecimal.setText(String.valueOf(i));</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> String str2 =
Integer.toString(Integer.parseInt(str1, 2), 8);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> txtOctal.setText(String.valueOf(str2));</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> String str3 =
Integer.toString(Integer.parseInt(str1, 2), 16);</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> txtHexa.setText(String.valueOf(str3));</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> return;</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> }</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> catch (Exception localException)</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> {</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> while (true)</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> localException.printStackTrace();</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> } </span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> }</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> });</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> }</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<br /></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> public void backtoMenu(View paramView)</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> {</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Courier New"; font-size: 10pt;"> finish();</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Times New Roman","serif"; font-size: 12pt;"> }</span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in;">
<span class="apple-style-span"><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">}</span></span></div>
</td>
</tr>
</tbody></table>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: justify;">
<br /></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: justify;">
<br /></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l1 level1 lfo12; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Terakhir lengkapilah
file AndroidManifest.xml seperti dibawah ini : Tambahkan pendeklarasian <b><i><activity< i=""></activity<></i></b><i>.
Untuk setiap masing-masing konversi bilangan</i></span></div>
<i>
</i><br />
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; text-align: justify;">
<i><b style="mso-bidi-font-weight: normal;"><i style="mso-bidi-font-style: normal;"><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">Script Android<span class="apple-style-span">Manifest</span>.xml<span class="apple-style-span"></span></span></i></b></i></div>
<i>
</i><br />
<table border="1" cellpadding="0" cellspacing="0" class="MsoNormalTable" style="border-collapse: collapse; border: none; mso-border-alt: solid windowtext .5pt; mso-border-insideh: .5pt solid windowtext; mso-border-insidev: .5pt solid windowtext; mso-padding-alt: 0in 5.4pt 0in 5.4pt; mso-yfti-tbllook: 1184;">
<tbody>
<tr style="mso-yfti-firstrow: yes; mso-yfti-irow: 0; mso-yfti-lastrow: yes;">
<td style="border: solid windowtext 1.0pt; mso-border-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 18.9pt;" valign="top" width="25"><div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: justify;">
<br /></div>
</td>
<td style="border-left: none; border: solid windowtext 1.0pt; mso-border-alt: solid windowtext .5pt; mso-border-left-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 388.75pt;" valign="top" width="518"><div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">xml</span><span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">version</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"1.0"</span></i>
<span style="color: #7f007f;">encoding</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"utf-8"</span></i><span style="color: teal;">?></span></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">manifest</span><span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">xmlns:android</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"http://schemas.android.com/apk/res/android"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">package</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"com.konversi"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:versionCode</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"1"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:versionName</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"1.0"</span></i><span style="color: teal;">></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: black; font-family: "Courier New"; font-size: 10pt;"> </span><span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">uses-sdk</span><span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:minSdkVersion</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"8"</span></i> <span style="color: teal;">/></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<br /></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: black; font-family: "Courier New"; font-size: 10pt;"> </span><span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">application</span><span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:icon</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"@drawable/penguin"</span></i> <span style="color: #7f007f;">android:label</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"@string/app_name"</span></i><span style="color: teal;">></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: black; font-family: "Courier New"; font-size: 10pt;"> </span><span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">activity</span><span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:name</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">".konversibilangan"</span></i></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:label</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"@string/app_name"</span></i><span style="color: teal;">></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: black; font-family: "Courier New"; font-size: 10pt;"> </span><span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">intent-filter</span><span style="color: teal; font-family: "Courier New"; font-size: 10pt;">></span><span style="font-family: "Courier New"; font-size: 10pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: black; font-family: "Courier New"; font-size: 10pt;"> </span><span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">action</span><span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:name</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"android.intent.action.MAIN"</span></i> <span style="color: teal;">/></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: black; font-family: "Courier New"; font-size: 10pt;"> </span><span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">category</span><span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:name</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">"android.intent.category.LAUNCHER"</span></i>
<span style="color: teal;">/></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: black; font-family: "Courier New"; font-size: 10pt;"> </span><span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">intent-filter</span><span style="color: teal; font-family: "Courier New"; font-size: 10pt;">></span><span style="font-family: "Courier New"; font-size: 10pt;"></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: black; font-family: "Courier New"; font-size: 10pt;"> </span><span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">activity</span><span style="color: teal; font-family: "Courier New"; font-size: 10pt;">></span><span style="font-family: "Courier New"; font-size: 10pt;"></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: black; font-family: "Courier New"; font-size: 10pt;"> </span><span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">activity</span><span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:name</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">".BinaryConverter"</span></i><span style="color: teal;">><span style="color: #3f7f7f;">activity</span><span style="color: teal;">></span></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: black; font-family: "Courier New"; font-size: 10pt;"> </span><span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">activity</span><span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:name</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">".OctalConverter"</span></i><span style="color: teal;">><span style="color: #3f7f7f;">activity</span><span style="color: teal;">></span></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: black; font-family: "Courier New"; font-size: 10pt;"> </span><span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">activity</span><span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:name</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">".DecimalConverter"</span></i><span style="color: teal;">><span style="color: #3f7f7f;">activity</span><span style="color: teal;">></span></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: black; font-family: "Courier New"; font-size: 10pt;"> </span><span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><</span><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">activity</span><span style="font-family: "Courier New"; font-size: 10pt;"> <span style="color: #7f007f;">android:name</span><span style="color: black;">=</span><i><span style="color: #2a00ff;">".HexaConverter"</span></i><span style="color: teal;">><span style="color: #3f7f7f;">activity</span><span style="color: teal;">></span></span></span></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<br /></div>
<div class="MsoNormal" style="line-height: normal; margin-bottom: .0001pt; margin-bottom: 0in; mso-layout-grid-align: none; text-autospace: none;">
<span style="color: black; font-family: "Courier New"; font-size: 10pt;"> </span><span style="color: teal; font-family: "Courier New"; font-size: 10pt;"><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt;">application</span><span style="color: teal; font-family: "Courier New"; font-size: 10pt;">></span><span style="font-family: "Courier New"; font-size: 10pt;"></span></span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: justify;">
<span style="color: teal; font-family: "Courier New"; font-size: 10pt; line-height: 150%;"><span style="color: #3f7f7f; font-family: "Courier New"; font-size: 10pt; line-height: 150%;">manifest</span><span style="color: teal; font-family: "Courier New"; font-size: 10pt; line-height: 150%;">&gt</span></span></div>
</td>
</tr>
</tbody></table>
<i>
</i><br />
<i><div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: justify; text-indent: .5in;">
<br /></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l4 level2 lfo1; text-align: justify; text-indent: -.25in;">
<b style="mso-bidi-font-weight: normal;"><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">3.4<span style="font: 7pt "Times New Roman";">
</span></span></b><b style="mso-bidi-font-weight: normal;"><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">.
</span></b><b style="mso-bidi-font-weight: normal;"><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Output
Program</span></b><b style="mso-bidi-font-weight: normal;"><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">.</span></b></div>
<div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .25in;">
<span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Setelah
semua proses diatas selesai maka proses selanjutnya adalah melakukan uji coba</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> output progra. Uji coba dilakukan dengan menggunakan
Android Virtual Device (AVD) Manager android 2.2 level 8.</span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l1 level1 lfo12; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Output Menu
Utama</span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; text-align: justify;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Setelah aplikasi Konversi bilangan diinstal maka
tampilan Menu Utama akan terlihat seperti gambar dibawah ini</span><br />
<div style="text-align: center;">
<i><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhl8_k3lC_jjMisAF1sApEye6IrtOU_LuWBgLdDDEXP-94A0t_t68EhHa0jDgEtmU-p6jVVsVJftD3_GUdururkgv5p2kjew2ecAk3dUAoK_9n_V5PaEbAjgLnkbdwafLbBJVgLE7XMSAU/s1600/menu+utama.jpg" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="320" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhl8_k3lC_jjMisAF1sApEye6IrtOU_LuWBgLdDDEXP-94A0t_t68EhHa0jDgEtmU-p6jVVsVJftD3_GUdururkgv5p2kjew2ecAk3dUAoK_9n_V5PaEbAjgLnkbdwafLbBJVgLE7XMSAU/s320/menu+utama.jpg" width="218" /></a></i><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> </span></div>
</div>
</i><i><div align="center" class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: center;">
<b style="mso-bidi-font-weight: normal;"><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></b></div>
<div align="center" class="MsoNormal" style="line-height: 150%; text-align: center;">
<span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Gambar
</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">2.9</span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> :</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> Tampilan Menu utama</span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: justify;">
<br /></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l12 level1 lfo13; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Output Konversi
Bilangan Biner</span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; text-align: justify;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Pada output konversi bilangan biner ini tampilannya
terlihat seperti pada gambar dibawah ini</span><br />
<div style="text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjzNnAJ9ixUXNlpXR7Fky-6osLU8NJO5Yaz2uZehqdNBCKXPwb8UmxsMlk2MJNKm7zyFUsz0z-iDTB-EX7BlUNsGtDUH6RgFC3anDWBT1F_vryLdPeG5OeO_eFo95Tq-tOstaucSIq-e7E/s1600/konversi+biner.jpg" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="320" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjzNnAJ9ixUXNlpXR7Fky-6osLU8NJO5Yaz2uZehqdNBCKXPwb8UmxsMlk2MJNKm7zyFUsz0z-iDTB-EX7BlUNsGtDUH6RgFC3anDWBT1F_vryLdPeG5OeO_eFo95Tq-tOstaucSIq-e7E/s320/konversi+biner.jpg" width="217" /></a><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> </span></div>
</div>
</i><i><div align="center" class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: center;">
<b style="mso-bidi-font-weight: normal;"><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></b></div>
<div align="center" class="MsoNormal" style="line-height: 150%; text-align: center;">
<span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Gambar
</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">2.10</span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> :</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> Tampilan Konversi Biner</span></div>
<div class="MsoNormal" style="line-height: 150%; margin-left: .25in;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Dari tampilan diatas terlihat bahwa inputnya yaitu
bilangan biner dengan nilai 00001111 dan apabila tombol convert ditekan maka hasil
dari nilai octal = 17, decimal = 15 dan nilai hexadecimal = f</span></div>
<div class="MsoNormal" style="tab-stops: 62.25pt;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 115%;"> </span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l12 level1 lfo13; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Output Konversi
Bilangan Oktal</span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; text-align: justify;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Pada output konversi bilangan oktal ini tampilannya
terlihat seperti pada gambar dibawah ini</span><br />
<div style="text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjXNRL2wa1Eikr02MVpmVdGJ1evUuKk6RojpbvcHfTYNPZTPKjKEESPiojvtbHjd2j9xoScmRtxUcFNQQQovKfp3iIpz3MdKWyOen2ug0OUZrngb2Wn7OaJf9-h68_zjkMArAghnYOqSmw/s1600/konversi+oktal.jpg" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="320" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjXNRL2wa1Eikr02MVpmVdGJ1evUuKk6RojpbvcHfTYNPZTPKjKEESPiojvtbHjd2j9xoScmRtxUcFNQQQovKfp3iIpz3MdKWyOen2ug0OUZrngb2Wn7OaJf9-h68_zjkMArAghnYOqSmw/s320/konversi+oktal.jpg" width="217" /></a><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> </span></div>
</div>
</i><i><div align="center" class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: center;">
<b style="mso-bidi-font-weight: normal;"><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></b></div>
<div align="center" class="MsoNormal" style="line-height: 150%; text-align: center;">
<span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Gambar
</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">2.11</span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> :</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> Tampilan Konversi Oktal</span></div>
<div class="MsoNormal" style="line-height: 150%; margin-left: .25in;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Dari tampilan diatas terlihat bahwa inputnya yaitu
bilangan oktal dengan nilai 07 dan apabila tombol convert ditekan maka hasil
dari nilai biner = 111, decimal = 7 dan nilai hexadecimal = 7</span></div>
<div class="MsoNormal" style="line-height: 150%; margin-left: .25in;">
<br /></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l12 level1 lfo13; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Output Konversi
Bilangan Decimal</span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; text-align: justify;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Pada output konversi bilangan decimal ini tampilannya
terlihat seperti pada gambar dibawah ini</span><br />
<div style="text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEicIlat4kBYKYqTJKOnj-tKr5lf7ObS2p8QHbqqg_jpRjG4Yn59bV7SJihYfzVdBwpRMZpM6f2GKYDLbfXOyFT8oKqHYITYe_vqBdeE24PV8sjWjoPyfPdh5pMjqn0JHUqAeoVddCBcePg/s1600/konversi+decimal.jpg" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="320" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEicIlat4kBYKYqTJKOnj-tKr5lf7ObS2p8QHbqqg_jpRjG4Yn59bV7SJihYfzVdBwpRMZpM6f2GKYDLbfXOyFT8oKqHYITYe_vqBdeE24PV8sjWjoPyfPdh5pMjqn0JHUqAeoVddCBcePg/s320/konversi+decimal.jpg" width="217" /></a><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> </span></div>
</div>
</i><i><div align="center" class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: center;">
<b style="mso-bidi-font-weight: normal;"><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></b></div>
<div align="center" class="MsoNormal" style="line-height: 150%; text-align: center;">
<span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Gambar
</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">2.12.</span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> :</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> Tampilan Konversi Decimal</span></div>
<div class="MsoNormal" style="line-height: 150%; margin-left: .25in;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Dari tampilan diatas terlihat bahwa inputnya yaitu
bilangan decimal dengan nilai 19 dan apabila tombol convert ditekan maka hasil
dari nilai biner = 10011, oktal = 23 dan nilai hexadecimal = 13</span></div>
<div class="MsoNormal" style="line-height: 150%; text-align: justify;">
<br /></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; mso-list: l12 level1 lfo13; text-align: justify; text-indent: -.25in;">
<span style="font-family: Symbol; font-size: 12pt; line-height: 150%;">·<span style="font: 7pt "Times New Roman";">
</span></span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Output Konversi
Bilangan Hexadecimal</span></div>
<div class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; margin-left: .25in; margin-right: 0in; margin-top: 0in; text-align: justify;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Pada output konversi bilangan hexadecimal ini
tampilannya terlihat seperti pada gambar dibawah ini</span><br />
<div style="text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjscagUQ9Y41pprr0qs4UxtgFMCBEWj3WYaBar0eBiN8ITknYDCNxa7DBzERXZnjVI6VnCd06N-Ducsbnd0nF-NJM2DKgxLzOeLZCSAFM3fbKkLGI9glUhLW4xschiZ1OwS4iMjWu-thBE/s1600/konversi+hexa.jpg" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="320" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjscagUQ9Y41pprr0qs4UxtgFMCBEWj3WYaBar0eBiN8ITknYDCNxa7DBzERXZnjVI6VnCd06N-Ducsbnd0nF-NJM2DKgxLzOeLZCSAFM3fbKkLGI9glUhLW4xschiZ1OwS4iMjWu-thBE/s320/konversi+hexa.jpg" width="216" /></a><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> </span></div>
<div style="text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhl8_k3lC_jjMisAF1sApEye6IrtOU_LuWBgLdDDEXP-94A0t_t68EhHa0jDgEtmU-p6jVVsVJftD3_GUdururkgv5p2kjew2ecAk3dUAoK_9n_V5PaEbAjgLnkbdwafLbBJVgLE7XMSAU/s1600/menu+utama.jpg" style="margin-left: 1em; margin-right: 1em;"></a><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> </span></div>
</div>
</i><i><div align="center" class="MsoNormal" style="line-height: 150%; margin-bottom: .0001pt; margin-bottom: 0in; text-align: center;">
<b style="mso-bidi-font-weight: normal;"><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"></span></b></div>
<div align="center" class="MsoNormal" style="line-height: 150%; text-align: center;">
<span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Gambar
</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">2.13</span><span lang="IN" style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> :</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;"> Tampilan Konversi Hexadecimal</span></div>
<div class="MsoNormal" style="line-height: 150%; margin-left: .25in;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt; line-height: 150%;">Dari tampilan diatas terlihat bahwa inputnya yaitu
bilangan hexadecimal dengan nilai 0f dan apabila tombol convert ditekan maka
hasil dari nilai binay = 1111, oktal = 17 dan nilai decimal = 15.</span></div>
</i>Muhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com1tag:blogger.com,1999:blog-4345051363685870451.post-87119346216281732772012-06-08T01:37:00.000-07:002012-06-08T01:37:19.727-07:00Relative pronouns<span style="background-color: #fdfdfd; color: #444444; font-family: verdana, arial, tahoma, times, Arial, Arial; font-size: 12px; font-style: italic; line-height: 16px; text-align: left;">Relative pronouns</span><span style="background-color: #fdfdfd; color: #444444; font-family: verdana, arial, tahoma, times, Arial, Arial; font-size: 12px; line-height: 16px; text-align: left;"> adalah kata ganti yang menunjuk pada kata benda yang mendahuluinya (</span><span style="background-color: #fdfdfd; color: #444444; font-family: verdana, arial, tahoma, times, Arial, Arial; font-size: 12px; font-style: italic; line-height: 16px; text-align: left;">antecedent</span><span style="background-color: #fdfdfd; color: #444444; font-family: verdana, arial, tahoma, times, Arial, Arial; font-size: 12px; line-height: 16px; text-align: left;">) yang berfungsi sebagai penghubung dalam kalimat. </span><span style="background-color: #fdfdfd; color: #444444; font-family: verdana, arial, tahoma, times, Arial, Arial; font-size: 12px; font-style: italic; line-height: 16px; text-align: left;">Relative pronouns</span><span style="background-color: #fdfdfd; color: #444444; font-family: verdana, arial, tahoma, times, Arial, Arial; font-size: 12px; line-height: 16px; text-align: left;"> biasa diletakkan di awal </span><span style="background-color: #fdfdfd; color: #444444; font-family: verdana, arial, tahoma, times, Arial, Arial; font-size: 12px; font-style: italic; line-height: 16px; text-align: left;">subordinate clause</span><span style="background-color: #fdfdfd; color: #444444; font-family: verdana, arial, tahoma, times, Arial, Arial; font-size: 12px; line-height: 16px; text-align: left;"> atau anak kalimat yang menunjukkan relasi terhadap keseluruhan kalimat.</span>
<br />
<span style="background-color: #fdfdfd; color: #444444; font-family: verdana, arial, tahoma, times, Arial, Arial; font-size: 12px; line-height: 16px; text-align: left;"><br /></span><br />
<span style="background-color: #fdfdfd; color: #444444; font-family: verdana, arial, tahoma, times, Arial, Arial; font-size: 12px; line-height: 16px; text-align: left;">Kata ganti yang digunakan adalah: </span><span style="background-color: #fdfdfd; color: #444444; font-family: verdana, arial, tahoma, times, Arial, Arial; font-size: 12px; font-style: italic; font-weight: bold; line-height: 16px; text-align: left;">who, whom, whose, which, </span><span style="background-color: #fdfdfd; color: #444444; font-family: verdana, arial, tahoma, times, Arial, Arial; font-size: 12px; line-height: 16px; text-align: left;">dan </span><span style="background-color: #fdfdfd; color: #444444; font-family: verdana, arial, tahoma, times, Arial, Arial; font-size: 12px; font-style: italic; font-weight: bold; line-height: 16px; text-align: left;">that</span><span style="background-color: #fdfdfd; color: #444444; font-family: verdana, arial, tahoma, times, Arial, Arial; font-size: 12px; line-height: 16px; text-align: left;">.</span>
<br />
<span style="background-color: #fdfdfd; color: #444444; font-family: verdana, arial, tahoma, times, Arial, Arial; font-size: 12px; line-height: 16px; text-align: left;"><br /></span><br />
<br />
<div style="background-color: white; font-family: Verdana, Arial, Helvetica, sans-serif; font-size: 15px; text-align: left;">
Lihatlah contoh-contoh ini menunjukkan Relative Clauses terdefinisi dan tidak terdefinisi:</div>
<br />
<table border="1" cellpadding="10" cellspacing="0" style="background-color: white; color: black; font-family: Verdana, Arial, Helvetica, sans-serif; font-size: 15px; text-align: left;"><tbody>
<tr bgcolor="#FFFFCC"><td style="vertical-align: top;"></td><td colspan="2" style="vertical-align: top;">example sentences<br />
S=subject, O=object, P=possessive</td><td style="vertical-align: top;">notes</td></tr>
<tr><td rowspan="6" style="vertical-align: top;">defining</td><td rowspan="2" style="vertical-align: top;">S</td><td style="vertical-align: top;">- The person <b>who</b> phoned me last night is my teacher.<br />
- The person <b>that</b> phoned me last night is my teacher.</td><td style="vertical-align: top;"><b>That</b> is preferable</td></tr>
<tr><td style="vertical-align: top;">- The car <b>which</b> hit me was yellow.<br />
- The cars <b>that</b> hit me were yellow.</td><td style="vertical-align: top;"><b>That</b> is preferable</td></tr>
<tr><td rowspan="2" style="vertical-align: top;">O</td><td style="vertical-align: top;">- The person <b>whom</b> I phoned last night is my teacher.<br />
- The people <b>who</b> I phoned last night are my teachers.<br />
- The person <b>that</b> I phoned last night is my teacher.<br />
- The person I phoned last night is my teacher.</td><td style="vertical-align: top;"><b>Whom</b> is correct but very formal. The relative pronoun is optional.</td></tr>
<tr><td style="vertical-align: top;">- The car <b>which</b> I drive is old.<br />
- The car <b>that</b> I drive is old.<br />
- The car I drive is old.</td><td style="vertical-align: top;"><b>That</b> is preferable to <b>which</b>. The relative pronoun is optional.</td></tr>
<tr><td rowspan="2" style="vertical-align: top;">P</td><td style="vertical-align: top;">- The student <b>whose</b> phone just rang should stand up.<br />
- Students <b>whose</b> parents are wealthy pay extra.</td><td style="vertical-align: top;"></td></tr>
<tr><td style="vertical-align: top;">- The police are looking for the car <b>whose</b> driver was masked.<br />
- The police are looking for the car <b>of which</b> the driver was masked.</td><td style="vertical-align: top;"><b>Of which</b> is usual for things, but<b>whose</b> is sometimes possible</td></tr>
<tr><td rowspan="6" style="vertical-align: top;">non-defining</td><td rowspan="2" style="vertical-align: top;">S</td><td style="vertical-align: top;">- Mrs Pratt, <b>who</b> is very kind, is my teacher.</td><td style="vertical-align: top;"></td></tr>
<tr><td style="vertical-align: top;">- The car, <b>which</b> was a taxi, exploded.<br />
- The cars, <b>which</b> were taxis, exploded.</td><td style="vertical-align: top;"></td></tr>
<tr><td rowspan="2" style="vertical-align: top;">O</td><td style="vertical-align: top;">- Mrs Pratt, <b>whom</b> I like very much, is my teacher.<br />
- Mr and Mrs Pratt, <b>who</b> I like very much, are my teachers.</td><td style="vertical-align: top;"><b>Whom</b> is correct but very formal.<b>Who</b> is normal.</td></tr>
<tr><td style="vertical-align: top;">- The car, <b>which</b> I was driving at the time, suddenly caught fire.</td><td style="vertical-align: top;"></td></tr>
<tr><td rowspan="2" style="vertical-align: top;">P</td><td style="vertical-align: top;">- My brother, <b>whose</b> phone you just heard, is a doctor.</td><td style="vertical-align: top;"></td></tr>
<tr><td style="vertical-align: top;">- The car, <b>whose</b> driver jumped out just before the accident, was completely destroyed.<br />
- The car, the driver <b>of which</b> jumped out just before the accident, was completely destroyed.</td><td style="vertical-align: top;"><b>Of which</b> is usual for things, but<b>whose</b> is sometimes possible</td></tr>
</tbody></table>
<br />
<div style="background-color: white; text-align: left;">
<span style="font-family: Verdana, Arial, Helvetica, sans-serif;"><span style="font-size: 15px;">* Tidak semua sumber tata bahasa menghitung "bahwa" sebagai kata ganti relatif. </span></span></div>
<div style="background-color: white; text-align: left;">
<span style="font-family: Verdana, Arial, Helvetica, sans-serif;"><span style="font-size: 15px;">** Beberapa orang mengklaim bahwa kita tidak dapat menggunakan "bahwa" bagi orang-orang tetapi harus menggunakan "siapa / siapa", tidak ada alasan yang baik untuk klaim seperti itu.</span></span></div>Muhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0tag:blogger.com,1999:blog-4345051363685870451.post-82353930456657476402012-04-26T23:08:00.002-07:002012-04-26T23:08:14.454-07:00Passive VoiceVerbs are also said to be either <i>active</i> (The executive committee <u>approved</u> the new policy) or <i>passive</i> (The new policy <u>was approved</u> by the executive committee) in <u>voice</u>.
In the active voice, the subject and verb relationship is
straightforward: the subject is a be-er or a do-er and the verb moves
the sentence along. In the <b>passive voice</b>, the subject of the sentence is neither a do-er or a be-er, but is acted upon by some other <a href="http://grammar.ccc.commnet.edu/grammar/subjects.htm#agent"><b>agent</b></a>
or by something unnamed (The new policy was approved). Computerized
grammar checkers can pick out a passive voice construction from miles
away and ask you to revise it to a more active construction. There is
nothing inherently wrong with the passive voice, but if you can say the
same thing in the active mode, do so (see exceptions below). Your text
will have more pizzazz as a result, since passive verb constructions
tend to lie about in their pajamas and avoid actual work. <br />
We find an overabundance of the passive voice in sentences created by
self-protective business interests, magniloquent educators, and
bombastic military writers (who must get weary of this accusation), who use the passive voice to avoid responsibility for actions taken. Thus "Cigarette ads <u>were designed</u> to appeal especially to children" places the burden on the ads — as opposed to "We <u>designed</u>
the cigarette ads to appeal especially to children," in which "we"
accepts responsibility. At a White House press briefing we might hear
that "The President was advised that certain members of Congress were
being audited" rather than "The Head of the Internal Revenue service
advised the President that her agency was auditing certain members of
Congress" because the passive construction avoids responsibility for
advising and for auditing. One further caution about the passive voice:
we should not mix active and passive constructions in the same sentence:
"The executive committee <u>approved</u> the new policy, and the calendar for next year's meetings <u>was revised</u>" should be recast as "The executive committee <u>approved</u> the new policy <u>and revised</u> the calendar for next year's meeting."<br />
Take the quiz (below) as an exercise in recognizing and changing passive verbs. <br />
The
passive voice does exist for a reason, however, and its presence is not
always to be despised. The passive is particularly useful (even
recommended) in two situations:<br />
<ul>
<li><i>When it is more important to draw our attention to the person or thing acted upon:</i> The unidentified victim <u>was apparently struck</u> during the early morning hours.
</li>
<li><i>When the actor in the situation is not important:</i> The aurora borealis <u>can be observed</u> in the early morning hours.</li>
</ul>
The passive voice is especially helpful (and even regarded as
mandatory) in scientific or technical writing or lab reports, where the
actor is not really important but the process or principle being
described is of ultimate importance. Instead of writing "I poured 20 cc
of acid into the beaker," we would write "Twenty cc of acid <u>is/was poured</u>
into the beaker." The passive voice is also useful when describing,
say, a mechanical process in which the details of process are much more
important than anyone's taking responsibility for the action: "The first
coat of primer paint <u>is applied</u> immediately after the acid rinse." <br />
We use the passive voice to good effect in a paragraph in which we wish to shift emphasis from what was the <u>object</u> in a first sentence to what becomes the <u>subject</u> in subsequent sentences. <br />
<blockquote>
The executive committee approved an entirely new <span style="color: magenta;">policy</span> for dealing with academic suspension and withdrawal. The <span style="color: magenta;">policy</span> <u>had been written</u>
by a subcommittee on student behavior. If students withdraw from course
work before suspension can take effect, the policy states, a mark of
"IW" . . . .</blockquote>
<div class="noindent">
The paragraph is clearly about this new policy so it is appropriate that <i>policy</i>
move from being the object in the first sentence to being the subject
of the second sentence. The passive voice allows for this transition.†</div>
<h2>
Passive Verb Formation</h2>
The passive forms of a verb are created by combining a form of the
"to be verb" with the past participle of the main verb. Other helping
verbs are also sometimes present: "The measure <u><span style="color: magenta;">could have</span> been killed</u> in committee." The passive can be used, also, in various tenses. Let's take a look at the passive forms of "design."<br />
<table bgcolor="#F5FFFA" border="1" cellpadding="4">
<tbody>
<tr>
<td rowspan="2" valign="middle"><span style="color: magenta; font-family: arial,helvetica;"><b>Tense</b></span></td><td rowspan="2" valign="middle"><span style="color: magenta; font-family: arial,helvetica;"><b>Subject</b></span></td><td align="center" colspan="2" valign="middle"><span style="color: magenta; font-family: arial,helvetica;"><b>Auxiliary</b></span></td><td rowspan="2" valign="middle"><span style="color: magenta; font-family: arial,helvetica;"><b>Past <br />Participle</b></span></td></tr>
<tr>
<td valign="middle"><span style="color: magenta; font-family: arial,helvetica;"><b>Singular</b></span></td><td valign="middle"><span style="color: magenta; font-family: arial,helvetica;"><b>Plural</b></span></td></tr>
<tr>
<td><b>Present</b> </td><td>The car/cars </td><td>is </td><td>are </td><td>designed.</td></tr>
<tr>
<td><b>Present perfect</b></td><td>The car/cars </td><td>has been </td><td>have been </td><td>designed.</td></tr>
<tr>
<td><b>Past</b> </td><td>The car/cars </td><td>was </td><td>were </td><td>designed.</td></tr>
<tr>
<td><b>Past perfect</b> </td><td>The car/cars </td><td>had been </td><td>had been </td><td>designed.</td></tr>
<tr>
<td><b>Future</b> </td><td>The car/cars </td><td>will be </td><td>will be </td><td>designed.</td></tr>
<tr>
<td><b>Future perfect</b> </td><td>The car/cars </td><td>will have been </td><td>will have been </td><td>designed.</td></tr>
<tr>
<td><b>Present progressive</b> </td><td>The car/cars </td><td>is being </td><td>are being </td><td>designed.</td></tr>
<tr>
<td><b>Past progressive</b> </td><td>The car/cars </td><td>was being </td><td>were being </td><td>designed.</td></tr>
<tr>
</tr>
</tbody></table>
A sentence cast in the passive voice will not always include an <a href="http://grammar.ccc.commnet.edu/grammar/subjects.htm#agent"><b>agent</b></a> of the action. For instance if a gorilla crushes a tin can, we could say "The tin can <u>was crushed</u> <span style="color: magenta;">by the gorilla</span>." But a perfectly good sentence would leave out the gorilla: "The tin can <u>was crushed</u>."
Also, when an active sentence with an indirect object is recast in the
passive, the indirect object can take on the role of subject in the
passive sentence: <br />
<br />
<table bgcolor="#F5FFFA" border="1" cellpadding="4"><tbody>
<tr>
<td><b>Active</b></td><td>Professor Villa gave <u>Jorge</u> an A.</td></tr>
<tr>
<td><b>Passive</b></td><td>An A <u>was given</u> <span style="color: magenta;">to Jorge</span> by Professor Villa.</td></tr>
<tr>
<td><b>Passive</b></td><td>Jorge <u>was given</u> an A.</td></tr>
<tr>
</tr>
</tbody></table>
Only transitive verbs (those that take objects) can be transformed
into passive constructions. Furthermore, active sentences containing
certain verbs cannot be transformed into passive structures. <i>To have</i>
is the most important of these verbs. We can say "He has a new car,"
but we cannot say "A new car is had by him." We can say "Josefina
lacked finesse," but we cannot say "Finesse was lacked." Here is a
brief list of such verbs*:<br />
<table bgcolor="#F5FFFA" border="1" cellpadding="4"><tbody>
<tr>
<td>resemble </td><td>look like </td><td>equal </td><td>agree with </td></tr>
<tr>
<td>mean </td><td>contain </td><td>hold </td><td>comprise </td></tr>
<tr>
<td>lack </td><td>suit </td><td>fit </td><td>become</td></tr>
</tbody></table>
<a href="" name="passive"></a><a href="" name="passive_verbals">
<h2>
Verbals in Passive Structures</h2>
</a><a href="" name="passive_verbals"></a><a href="http://grammar.ccc.commnet.edu/grammar/verbs.htm#verbals"><b>Verbals or verb forms</b></a> can also take on features of the passive voice. An <b>infinitive phrase in the passive voice</b>, for instance, can perform various functions within a sentence (just like the active forms of the infinitive). <br />
<ul>
<li>Subject: <u>To be elected</u> by my peers is a great honor.
</li>
<li>Object: That child really likes <u>to be read to</u> by her mother.
</li>
<li>Modifier: Grasso was the first woman <u>to be elected</u> governor in her own right.</li>
</ul>
<div class="noindent">
The same is true of <b>passive gerunds</b>. </div>
<ul>
<li>Subject: <u>Being elected</u> by my peers was a great thrill.
</li>
<li>Object: I really don't like <u>being lectured to</u> by my boss.
</li>
<li>Object of preposition: I am so tired <u><span style="color: magenta;">of</span> being lectured to</u> by my boss.</li>
</ul>
<div class="noindent">
With <b>passive participles</b>, part of the passive construction is often omitted, the result being a simple modifying <a href="http://grammar.ccc.commnet.edu/grammar/phrases.htm#participle"><b>participial phrase</b></a>.</div>
<ul>
<li>[<s>Having been</s>] designed for off-road performance, the Pathseeker does not always behave well on paved highways.</li>
</ul>
<u><i>Sumber :<a href="http://grammar.ccc.commnet.edu/grammar/passive.htm"> http://grammar.ccc.commnet.edu/grammar/passive.htm </a></i></u>Muhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0tag:blogger.com,1999:blog-4345051363685870451.post-4798078884582145162012-04-06T05:35:00.002-07:002012-04-06T05:52:52.932-07:00Comparison of adjectives<h2 style="color: rgb(0, 153, 0);" class="textfettrotlinks">A - Comparison with -er/-est</h2> <p>clean - clean<span class="textfettrotlinks">er</span> - (the) clean<span class="textfettrotlinks">est</span></p> <p class="textfett">We use -er/-est with the following adjectives:</p> <h3 class="textfettitalic">1) adjectives with one syllable</h3> <table style="width: 327px; height: 71px;" class="vokabeln400"> <tbody><tr> <td style="color: rgb(51, 51, 255);" width="33%"><ul><li>clean</li></ul></td> <td style="color: rgb(51, 51, 255);" width="33%"><ul><li>clean<span class="textfettrotlinks">er</span></li></ul></td> <td style="color: rgb(51, 51, 255);" width="34%"><ul><li>clean<span class="textfettrotlinks">est</span></li></ul></td> </tr> <tr> <td style="color: rgb(51, 51, 255);"><ul><li>new</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>new<span class="textfettrotlinks">er</span></li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>new<span class="textfettrotlinks">est</span></li></ul></td> </tr> <tr> <td style="color: rgb(51, 51, 255);"><ul><li>cheap</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>cheap<span class="textfettrotlinks">er</span></li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>cheap<span class="textfettrotlinks">est</span></li></ul></td> </tr> </tbody></table> <h3 class="textfettitalic">2) adjectives with two syllables and the following endings:</h3> <h4 class="textfettitalic">2 - 1) adjectives with two syllables, ending in -y</h4> <table style="width: 325px; height: 94px;" class="vokabeln400"> <tbody><tr> <td style="color: rgb(51, 51, 255);" width="33%"><ul><li>dirty</li></ul></td> <td style="color: rgb(51, 51, 255);" width="33%"><ul><li>dirti<span class="textfettrotlinks">er</span></li></ul></td> <td style="color: rgb(51, 51, 255);" width="34%"><ul><li>dirti<span class="textfettrotlinks">est</span></li></ul></td> </tr> <tr> <td style="color: rgb(51, 51, 255);"><ul><li>easy</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>easi<span class="textfettrotlinks">er</span></li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>easi<span class="textfettrotlinks">est</span></li></ul></td> </tr> <tr> <td style="color: rgb(51, 51, 255);"><ul><li>happy</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>happi<span class="textfettrotlinks">er</span></li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>happi<span class="textfettrotlinks">est</span></li></ul></td> </tr> <tr> <td style="color: rgb(51, 51, 255);"><ul><li>pretty</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>pretti<span class="textfettrotlinks">er</span></li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>pretti<span class="textfettrotlinks">est</span></li></ul></td> </tr> </tbody></table> <h4 class="textfettitalic">2 - 2) adjectives with two syllables, ending in -er</h4> <table style="width: 311px; height: 25px;" class="vokabeln400"> <tbody><tr> <td style="color: rgb(51, 51, 255);" width="33%"><ul><li>clever</li></ul></td> <td style="color: rgb(51, 51, 255);" width="33%"><ul><li>clever<span class="textfettrotlinks">er</span></li></ul></td> <td style="color: rgb(51, 51, 255);" width="34%"><ul><li>clever<span class="textfettrotlinks">est</span></li></ul></td> </tr> </tbody></table> <h4 class="textfettitalic">2 - 3) adjectives with two syllables, ending in -le</h4> <table class="vokabeln400"> <tbody><tr> <td style="color: rgb(51, 51, 255);" width="33%"><ul><li>simple</li></ul></td> <td style="color: rgb(51, 51, 255);" width="33%"><ul><li>simpl<span class="textfettrotlinks">er</span></li></ul></td> <td style="color: rgb(51, 51, 255);" width="34%"><ul><li>simpl<span class="textfettrotlinks">est</span></li></ul></td> </tr> </tbody></table> <h4 class="textfettitalic">2 - 4) adjectives with two syllables, ending in -ow</h4> <table class="vokabeln400"> <tbody><tr> <td style="color: rgb(51, 51, 255);" width="33%"><ul><li>narrow</li></ul></td> <td style="color: rgb(51, 51, 255);" width="33%"><ul><li>narrow<span class="textfettrotlinks">er</span></li></ul></td> <td style="color: rgb(51, 51, 255);" width="34%"><ul><li>narrow<span class="textfettrotlinks">est</span></li></ul></td> </tr> </tbody></table> <br /> <hr /> <h1 class="verwendung">Spelling of the adjectives using the endings -er/-est</h1> <table style="width: 595px; height: 136px;" class="vokabeln100"> <tbody><tr> <td style="color: rgb(51, 51, 255);" width="15%"><ul><li>large</li></ul></td> <td style="color: rgb(51, 51, 255);" width="15%"><ul><li>large<span class="textfettrotlinks">r</span></li></ul></td> <td style="color: rgb(51, 51, 255);" width="15%"><ul><li>large<span class="textfettrotlinks">st</span></li></ul></td> <td style="color: rgb(51, 51, 255);" width="55%"><ul><li>leave out the silent -e</li></ul></td> </tr> <tr> <td style="color: rgb(51, 51, 255);"><ul><li>big</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>big<span class="textfettrotlinks">ger</span></li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>big<span class="textfettrotlinks">gest</span></li></ul></td> <td style="color: rgb(51, 51, 255);" rowspan="2"><ul><li>Double the consonant after short vowel</li></ul></td> </tr> <tr> <td style="color: rgb(51, 51, 255);"><ul><li>sad</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>sad<span class="textfettrotlinks">der</span></li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>sad<span class="textfettrotlinks">dest</span></li></ul></td> </tr> <tr> <td style="color: rgb(51, 51, 255);"><ul><li>dirty</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>dirt<span class="textfettrotlinks">ier</span></li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>dirt<span class="textfettrotlinks">iest</span></li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>Change -y to -i (consonant before -y)</li></ul></td> </tr> <tr> <td style="color: rgb(51, 51, 255);"><ul><li>shy</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>shy<span class="textfettrotlinks">er</span></li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>shy<span class="textfettrotlinks">est</span></li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>Here -y is not changed to -i.(although consonant before -y)</li></ul></td> </tr> </tbody></table> <br /> <hr /> <h2 style="color: rgb(0, 153, 0);" class="textfettrotlinks">B - Comparison with more - most</h2> <p>difficult - <span class="textfettrotlinks">more</span> difficult - (the) <span class="textfettrotlinks">most</span> difficult</p> <p class="textfett">all adjectives with more than one syllable (except some adjectives with two syllables - see<br /> 2 - 1 to 2 - 4)</p> <hr /> <h2 style="color: rgb(0, 153, 0);" class="textfettrotlinks">C - Irregular adjectives</h2> <ul><li><table style="width: 538px; height: 140px;" class="vokabeln100"> <tbody><tr> <td style="color: rgb(51, 51, 255);" width="15%"><ul><li>good</li></ul></td> <td style="color: rgb(51, 51, 255);" width="15%"><ul><li>better</li></ul></td> <td style="color: rgb(51, 51, 255);" width="15%"><ul><li>best</li></ul></td> <td style="color: rgb(51, 51, 255);" width="55%"><br /></td> </tr> <tr> <td style="color: rgb(51, 51, 255);"><ul><li>bad</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>worse</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>worst</li></ul></td> <td style="color: rgb(51, 51, 255);"><br /></td> </tr> <tr> <td style="color: rgb(51, 51, 255);"><ul><li>much</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>more</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>most</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>uncountable nouns </li></ul></td> </tr> <tr> <td style="color: rgb(51, 51, 255);"><ul><li>many</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>more</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>most</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>countable nouns</li></ul></td> </tr> <tr> <td style="color: rgb(51, 51, 255);"><ul><li>little</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>less</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>least</li></ul></td> <td style="color: rgb(51, 51, 255);"><br /></td> </tr> <tr> <td style="color: rgb(51, 51, 255);"><ul><li>little</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>smaller</li></ul></td> <td style="color: rgb(51, 51, 255);"><ul><li>smallest</li></ul></td> <td style="color: rgb(51, 51, 255);"><br /></td> </tr> </tbody></table></li></ul> <br /> <hr /> <h2 style="color: rgb(0, 153, 0);" class="textfettrotlinks">D - Special adjectives</h2> <p>Some ajdectives have two possible forms of comparison.</p> <table style="width: 592px; height: 205px;" class="vokabeln100"> <tbody><tr> <td style="color: rgb(51, 102, 255);" width="20%"><ul><li>common</li></ul></td> <td style="color: rgb(51, 102, 255);" width="40%"><ul><li>commoner / more common</li></ul></td> <td style="color: rgb(51, 102, 255);" width="40%"><ul><li>commonest / most common</li></ul></td> </tr> <tr> <td style="color: rgb(51, 102, 255);"><ul><li>likely</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>likelier / more likely</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>likeliest / most likely</li></ul></td> </tr> <tr> <td style="color: rgb(51, 102, 255);"><ul><li>pleasant</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>pleasanter / more pleasant</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>pleasantest / most pleasant</li></ul></td> </tr> <tr> <td style="color: rgb(51, 102, 255);"><ul><li>polite</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>politer / more polite</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>politest / most polite</li></ul></td> </tr> <tr> <td style="color: rgb(51, 102, 255);"><ul><li>simple</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>simpler / more simple</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>simplest / most simple</li></ul></td> </tr> <tr> <td style="color: rgb(51, 102, 255);"><ul><li>stupid</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>stupider / more stupid</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>stupidest / most stupid</li></ul></td> </tr> <tr> <td style="color: rgb(51, 102, 255);"><ul><li>subtle</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>subtler / more subtle</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>subtlest</li></ul></td> </tr> <tr> <td style="color: rgb(51, 102, 255);"><ul><li>sure</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>surer / more sure</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>surest / most sure</li></ul></td> </tr> </tbody></table> <br /> <hr /> <h2 class="textfett">Difference in meaning with adjectives:</h2> <table style="width: 437px; height: 228px;" class="vokabeln100"><tbody><tr> <td style="color: rgb(51, 102, 255);" rowspan="2" width="10%"><ul><li>far</li></ul></td> <td style="color: rgb(51, 102, 255);" width="20%"><ul><li>farther</li></ul></td> <td style="color: rgb(51, 102, 255);" width="20%"><ul><li>farthest</li></ul></td> <td style="color: rgb(51, 102, 255);" width="50%"><ul><li>distance</li></ul></td> </tr> <tr> <td style="color: rgb(51, 102, 255);"><ul><li>further</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>furthest</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>distance <span class="grau">or</span></li><li> time</li></ul></td> </tr> <tr> <td style="color: rgb(51, 102, 255);" rowspan="3"><ul><li>late</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>later</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>latest</li></ul></td> <td style="color: rgb(51, 102, 255);"><br /></td> </tr> <tr> <td style="color: rgb(51, 102, 255);"><ul><li>latter</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>x</li></ul></td> <td style="color: rgb(51, 102, 255);"><br /></td> </tr> <tr> <td style="color: rgb(51, 102, 255);"><ul><li>x</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>last</li></ul></td> <td style="color: rgb(51, 102, 255);"><br /></td> </tr> <tr> <td style="color: rgb(51, 102, 255);" rowspan="2"><ul><li>old</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>older</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>oldest</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>people and things </li></ul></td> </tr> <tr> <td style="color: rgb(51, 102, 255);"><ul><li>elder</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>eldest</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>people (family) </li></ul></td> </tr> <tr> <td style="color: rgb(51, 102, 255);" rowspan="2"><ul><li>near</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>nearer</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>nearest</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>distance</li></ul></td> </tr> <tr> <td style="color: rgb(51, 102, 255);"><ul><li>x</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>next</li></ul></td> <td style="color: rgb(51, 102, 255);"><ul><li>order</li></ul></td></tr></tbody></table>Muhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0tag:blogger.com,1999:blog-4345051363685870451.post-9333487743079450512012-03-07T06:51:00.002-08:002012-03-07T07:19:29.251-08:00The Relative Clause<span id="result_box" class="" lang="id"><span title="A relative clause—also called an adjective or adjectival clause—will meet three requirements.">Relative Clause disebut juga dengan kata sifat<br />kalimat Relative Clause akan memenuhi tiga persyaratan.<br /></span></span><ul><li><span id="result_box" class="" lang="id"><span title="First, it will contain a subject and verb.">> Pertama, akan berisi subjek dan kata kerja/</span></span><a href="http://www.chompchomp.com/terms/subject.htm">subject</a> dan <a href="http://www.chompchomp.com/terms/verb.htm">verb</a>.<span id="result_box" class="" lang="id"><span title="First, it will contain a subject and verb.">.</span><span title="Next, it will begin with a relative pronoun [who, whom, whose, that, or which] or a relative adverb [when, where, or why]."></span></span></li><li><span id="result_box" class="" lang="id"><span title="Next, it will begin with a relative pronoun [who, whom, whose, that, or which] or a relative adverb [when, where, or why].">> Kedua, ia akan mulai dengan kata ganti </span></span><a href="http://www.chompchomp.com/terms/relativepronoun.htm">relative pronoun</a><span id="result_box" class="" lang="id"><span title="Next, it will begin with a relative pronoun [who, whom, whose, that, or which] or a relative adverb [when, where, or why]."> </span></span>[<span class="special_02">who</span>, <span class="special_02">whom</span>, <span class="special_02">whose</span>, <span class="special_02">that</span>, or <span class="special_02">which</span>]<span id="result_box" class="" lang="id"><span title="Next, it will begin with a relative pronoun [who, whom, whose, that, or which] or a relative adverb [when, where, or why]."> atau </span></span><a href="http://www.chompchomp.com/terms/relativeadverb.htm">relative adverb</a><span id="result_box" class="" lang="id"><span title="Next, it will begin with a relative pronoun [who, whom, whose, that, or which] or a relative adverb [when, where, or why]."> </span></span>[<span class="special_02">when</span>, <span class="special_02">where</span>, or <span class="special_02">why</span>]<span id="result_box" class="" lang="id"><span title="Next, it will begin with a relative pronoun [who, whom, whose, that, or which] or a relative adverb [when, where, or why].">.</span><span title="Finally, it will function as an adjective, answering the questions What kind?"></span></span></li><li><span id="result_box" class="" lang="id"><span title="Finally, it will function as an adjective, answering the questions What kind?">> Ketiga, itu akan berfungsi sebagai kata sifat/</span></span><a href="http://www.chompchomp.com/terms/adjective.htm">adjective</a><span id="result_box" class="" lang="id"><span title="Finally, it will function as an adjective, answering the questions What kind?">, menjawab pertanyaan-pertanyaan </span><span title="or Which one?"></span></span><em>What kind?</em> <em>How many?</em> or <em>Which one?</em></li></ul><span id="result_box" class="" lang="id"><span title="or Which one?"></span></span><span id="result_box" class="" lang="id"><span title="A relative clause—also called an adjective or adjectival clause—will meet three requirements.">Relative Clause</span></span><span id="result_box" class="" lang="id"><span title="or Which one?"></span><span title="The relative clause will follow one of these two patterns:"> akan mengikuti salah satu dari dua pola:<br /></span></span> <p style="line-height: 200%; text-align: left;"><span class="special_05"></span></p><blockquote style="font-weight: bold;"><p style="line-height: 200%; text-align: left;"><span class="special_05">relative pronoun or adverb</span> + <span class="special_05">subject</span> + <span class="special_05">verb</span></p><div style="text-align: left;"> </div><p style="line-height: 200%; text-align: left;"><span class="special_05">relative pronoun as subject</span> + <span class="special_05">verb</span></p></blockquote><p style="line-height: 200%; text-align: left;"><span class="special_05"></span></p> <span id="result_box" class="" lang="id"><span title="relative pronoun as subject + verb"><br /></span><span title="Here are some examples:">Berikut adalah beberapa contoh:<br /></span></span> <br /> <span style="font-style: italic;"> <span style="color: rgb(0, 0, 153);">Which Francine did not accept</span></span><blockquote> <p><span class="special_02">Which</span> = relative pronoun; <span class="special_02">Francine</span> = subject; <span class="special_02">did accept</span> = verb [<span class="special_02">not</span>, an adverb, is not officially part of the verb]. </p> <p style="color: rgb(0, 0, 153); font-style: italic;" class="example">Where George found <em>Amazing Spider-Man</em> #96 in fair condition</p> <p><span class="special_02">Where</span> = relative adverb; <span class="special_02">George</span> = subject; <span class="special_02">found</span> = verb.</p> <p style="color: rgb(0, 0, 153); font-style: italic;" class="example">That dangled from the one clean bathroom towel</p> <p><span class="special_02">That</span> = relative pronoun functioning as subject; <span class="special_02">dangled</span> = verb.</p> <p style="color: rgb(0, 0, 153); font-style: italic;" class="example">Who continued to play video games until his eyes were blurry with fatigue</p> <p><span class="special_02">Who</span> = relative pronoun functioning as subject; <span class="special_02">played</span> = verb.</p> </blockquote><span id="result_box" class="" lang="id"><span title="Who = relative pronoun functioning as subject; played = verb."></span><span style="font-weight: bold;" title="Avoid creating a sentence fragment.">Hindari membuat sebuah fragmen kalimat/</span></span><span style="font-weight: bold;" class="special_02">sentence fragment</span><span id="result_box" class="" lang="id"><span title="Avoid creating a sentence fragment."><span style="font-weight: bold;">.</span><br /><br /></span><span title="A relative clause does not express a complete thought, so it cannot stand alone as a sentence.">Sebuah Relative Clause tidak mengekspresikan pemikiran yang lengkap, sehingga tidak dapat berdiri sendiri sebagai kalimat. </span><span title="To avoid writing a fragment, you must connect each relative clause to a main clause.">Untuk menghindari menulis fragmen, Anda harus menghubungkan setiap Relative Clause terhadap klausa utama/Main Clause. </span><span title="Read the examples below.">Baca contoh di bawah ini. </span><span title="Notice that the relative clause follows the word that it describes.">Perhatikan bahwa Relative Clause mengikuti kata yang menggambarkan.<br /></span><span title="To calm his angry girlfriend, Joey offered an apology which Francine did not accept."></span></span><br /><blockquote style="color: rgb(0, 0, 153); font-style: italic;"> <p class="example">To calm his angry girlfriend, Joey offered an apology <span class="special_02">which Francine did not accept</span>.</p> <p class="example">We tried our luck at the same flea market <span class="special_02">where George found Amazing Spider-Man #96 in fair condition</span>.</p> <p class="example">Michelle screamed when she saw the spider <span class="special_02">that dangled from the one clean bathroom towel</span>.</p> <p class="example">Brian said goodnight to his roommate Justin, <span class="special_02">who continued to play video games until his eyes were blurry with fatigue</span>.</p> </blockquote><span id="result_box" class="" lang="id"><span title="Brian said goodnight to his roommate Justin, who continued to play video games until his eyes were blurry with fatigue."><br /></span><span title="Punctuate a relative clause correctly."><span style="font-weight: bold;">Tanda baca Relative Clause yang benar.</span><br /><br /></span><span title="Punctuating relative clauses can be tricky.">Penekanan Relative Clause bisa rumit. </span><span title="For each sentence, you will have to decide if the relative clause is essential or nonessential and then use commas accordingly.">Untuk setiap kalimat, Anda harus memutuskan apakah klausa relatif penting atau tidak penting dan kemudian menggunakan koma yang sesuai.<br /><br /></span><span title="Essential clauses do not require commas.">Klausul penting tidak memerlukan koma. </span><span title="A relative clause is essential when you need the information it provides.">Sebuah Relative Clause ini penting ketika Anda memerlukan informasi yang disediakan. </span><span title="Look at this example:">Lihat contoh ini:<br /><br /> </span><span style="font-style: italic; color: rgb(0, 0, 153);" title="The children who skateboard in the street are especially noisy in the early evening."></span></span><span style="font-style: italic; color: rgb(0, 0, 153);">The children </span><span style="font-style: italic; color: rgb(0, 0, 153);" class="special_02">who skateboard in the street</span><span style="font-style: italic; color: rgb(0, 0, 153);"> are especially noisy in the early evening.</span><br /><span id="result_box" class="" lang="id"><span title="The children who skateboard in the street are especially noisy in the early evening."><br /></span></span><span class="special_02">Children</span><span id="result_box" class="" lang="id"><span title="The children who skateboard in the street are especially noisy in the early evening."></span><span title="Children is nonspecific."> tidak spesifik. </span><span title="To know which ones we are talking about, we must have the information in the relative clause.">Untuk mengetahui mana yang kita bicarakan, kita harus memiliki informasi dalam Relative Clause. </span><span title="Thus, the relative clause is essential and requires no commas.">Dengan demikian, Relative Clause sangat penting dan tidak memerlukan koma.<br /><br /> </span><span title="If, however, we eliminate children and choose more specific nouns instead, the relative clause becomes nonessential and does require commas to separate it from the rest of the sentence.">Namun, jika kita menghilangkan </span></span><span class="special_02">Children</span><span id="result_box" class="" lang="id"><span title="If, however, we eliminate children and choose more specific nouns instead, the relative clause becomes nonessential and does require commas to separate it from the rest of the sentence."> dan pilih kata benda yang lebih spesifik sebagai gantinya, Relative Clause menjadi tidak penting dan tidak memerlukan koma untuk memisahkannya dari bagian kalimat. </span><span title="Read this revision:">Baca revisi:<br /><br /> </span><span title="Matthew and his sister Loretta, who skateboard in the street, are especially noisy in the early evening."></span></span><span style="color: rgb(0, 0, 153); font-style: italic;">Matthew and his sister Loretta</span><span style="color: rgb(0, 0, 153); font-style: italic;" class="special_02">, who skateboard in the street,</span><span style="color: rgb(0, 0, 153); font-style: italic;"> are especially noisy in the early evening.</span>Muhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com1tag:blogger.com,1999:blog-4345051363685870451.post-61541005211548543822012-01-06T00:50:00.000-08:002012-01-06T00:52:06.005-08:00Surat Bisnis<p>Mr. Muhammad Fauzan<br />Junior Clerk WECHS<br />Plot No. 608 Block No. 2<br />Sector D-1 Township,<br />Lahore.</p> <p>Subject: Resignation from Service</p> <p>Reference Your application dated 10.05.2006 regarding resignation from service. Please be informed that you are required to deposit one month`s pay with the Society in lieu of one month`s notice as required vide clause 4 of your appointment letter No. 08026/12-A/29 dated 26.05.2006.</p> <p>You are absent from duty since 10.05.2005 hence you will not be entitled to get salary for the month of May, 2005.</p> <p style="text-align: right;">Secretary</p> <p style="text-align: right;">XXX.</p> <p style="text-align: left;">Cc to : Accounts Branch for Information & Master File.</p>Muhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com1tag:blogger.com,1999:blog-4345051363685870451.post-90474959199305687612012-01-06T00:47:00.000-08:002012-01-06T00:50:13.490-08:00Tips membuat surat lamaran<div style="text-align: left;"><span id="result_box" class="" lang="en"><span class="hps">Most of us</span> <span class="hps">do not</span> <span class="hps">have</span> <span class="hps">basic</span> <span class="hps">knowledge in</span> <span class="hps">writing</span> <span class="hps">a cover letter</span> <span class="hps">and</span> <span class="hps">resume</span> <span class="hps">would</span> <span class="hps">even</span> <span class="hps atn">'</span><span class="">sloppy</span><span>'</span><span>.</span> <span class="hps">Whereas</span> <span class="hps">in America,</span> <span class="hps">there are</span> <span class="hps">even</span> <span class="hps">people who</span> <span class="hps">work</span> <span class="hps">specifically</span> <span class="hps">to make</span> <span class="hps">a cover letter</span><span>!</span> <span class="hps">Why is that</span><span>?</span> <span class="hps">You see, the</span> <span class="hps">cover letter</span> <span class="hps">is</span> <span class="hps">vital</span><span>.</span> <span class="hps">From</span> <span class="hps">where</span> <span class="hps">can</span> <span class="hps">we</span> <span class="hps">read</span> <span class="hps">how much</span> <span class="hps">interest</span> <span class="hps">to</span> <span class="hps">the work we</span> <span class="hps">are applying.</span> <span class="hps">And</span> <span class="hps">how</span> <span class="hps">competent</span> <span class="hps">quality</span> <span class="hps">ourselves to</span> <span class="hps">occupy</span> <span class="hps">that position</span><span>.</span> <span class="hps">Cover letter</span> <span class="hps">should</span><span>:</span></span><br /><span id="result_box" class="" lang="en"></span><br /><span id="result_box" class="" lang="en"> <span class="hps">Impressive</span><span>.</span> <span class="hps">Not too</span> <span class="hps">proud</span><span>,</span> <span class="hps">and not too</span> <span class="hps">humble themselves</span><span>.</span></span><br /><span id="result_box" class="" lang="en"> <span class="hps">Attract potential</span> <span class="hps">employers</span><span>.</span> <span class="hps">Once</span> <span class="hps">read, the</span> <span class="hps">people</span> <span class="hps">immediately interested</span> <span class="hps">to</span> <span class="hps">find out</span> <span class="hps">more information</span> <span class="hps">such as</span> <span class="hps">whether</span> <span class="hps">you</span></span><br /><span id="result_box" class="" lang="en"> <span class="hps">Mention</span> <span class="hps">qualification</span> <span class="hps">achieved</span></span><br /><span id="result_box" class="" lang="en"> <span class="hps">Using</span> <span class="hps">the language</span> <span class="hps">readable</span><span>,</span> <span class="hps">concise</span><span>,</span> <span class="hps">clear</span><span>,</span> <span class="hps">and the</span> <span class="hps">repetition</span> <span class="hps">padat.Hindari</span> <span class="hps">sentence</span> <span class="hps">without</span> <span class="hps">explanation</span><span>,</span> <span class="hps">effective</span> <span class="hps">use of</span> <span class="hps">sentence is not</span><span>,</span> <span class="hps">a spelling error</span><span>,</span> <span class="hps">or</span> <span class="hps">the use of</span> <span class="hps">bad grammar</span><span>.</span> <span class="hps atn">(</span><span>If necessary</span><span>, buy</span> <span class="hps">a book</span> <span class="hps">that</span> <span class="hps">contains examples of</span> <span class="hps">cover letter</span><span>)</span></span><br /><span id="result_box" class="" lang="en"> <span class="hps">Avoid</span> <span class="hps">using</span> <span class="hps">the English language</span> <span class="hps atn">(</span><span>if</span> <span class="hps">not</span> <span class="hps">required</span><span>) if</span> <span class="hps">you</span> <span class="hps">feel that</span> <span class="hps">your</span> <span class="hps">English skills</span> <span class="hps">are less</span> <span class="hps">baik.Daripada</span> <span class="hps">caught</span> <span class="hps">his fault</span><span>, it's better</span> <span class="hps">just</span> <span class="hps">to use</span> <span class="hps">Indonesian</span><span>.</span></span><br /><span id="result_box" class="" lang="en"> <span class="hps">Include</span> <span class="hps">goals and</span> <span class="hps">your</span> <span class="hps">reasons for</span> <span class="hps">applying</span></span><br /><span id="result_box" class="" lang="en"> <span class="hps">Do not let</span> <span class="hps">your</span> <span class="hps">cover letter</span> <span class="hps">gives</span> <span class="hps">the impression of</span> <span class="hps">dirty</span><span>,</span> <span class="hps">makeshift</span><span>.</span> <span class="hps">use paper that is</span> <span class="hps">nice</span><span>,</span> <span class="hps">clean</span> <span class="hps">and</span> <span class="hps">neat</span><span>,</span> <span class="hps">do not</span> <span class="hps">investigate</span><span>,</span> <span class="hps">thick</span><span>,</span> <span class="hps">not easily</span> <span class="hps">torn.</span> <span class="hps">Avoid</span> <span class="hps">Tipp</span><span class="atn">-</span><span>ex</span><span>.</span> <span class="hps">The ink</span> <span class="hps">is also</span> <span class="hps">not too</span> <span class="hps">thin</span> <span class="hps">so</span> <span class="hps">easy to read</span><span>.</span></span><br /><span id="result_box" class="" lang="en"> <span class="hps">Using a</span> <span class="hps">computer</span><span>.</span> <span class="hps">There are some</span> <span class="hps">companies</span> <span class="hps">who</span> <span class="hps">have requested that</span> <span class="hps">a cover letter</span> <span class="hps">written</span> <span class="hps">by hand</span><span>.</span> <span class="hps">But if not</span> <span class="hps">requested</span><span>, it's better</span> <span class="hps">if</span> <span class="hps">you use the</span> <span class="hps">computer</span><span>.</span> <span class="hps">Use also</span> <span class="hps">inkjet</span> <span class="hps">or</span> <span class="hps">laser</span> <span class="hps">printer</span> <span class="hps atn">(</span><span>dot matrix</span> <span class="hps">printers</span> <span class="hps">do not</span> <span class="hps">pulamemakai</span><span>)</span><span>.</span> <span class="hps">Thus you</span> <span class="hps">do not look</span> <span class="hps">blind</span> <span class="hps">technology.</span></span><br /><span id="result_box" class="" lang="en"></span><br /><span id="result_box" class="" lang="en"> <span class="hps">source</span><span>:</span> <span class="hps">http://cdc.eng.ui.ac.id</span></span></div>Muhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0tag:blogger.com,1999:blog-4345051363685870451.post-24936928048515893332012-01-06T00:01:00.000-08:002012-01-06T00:43:12.340-08:00Sertifikat Seminar<a onblur="try {parent.deselectBloggerImageGracefully();} catch(e) {}" href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiuWKMQq28Oy8-9EbfwbdzFryrfFYaAnL24xhtywsx8i57OfQIzh4B-L_JVyadJU9d0lxU90weMF7MpZ7rc5ed36nru2UzUfkAJuO6Kkj6Fo9YU-s3b7XAkPjaaimTAbRRLmiuhTrpWR_M/s1600/06012012506.jpg"><img style="display:block; margin:0px auto 10px; text-align:center;cursor:pointer; cursor:hand;width: 320px; height: 216px;" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiuWKMQq28Oy8-9EbfwbdzFryrfFYaAnL24xhtywsx8i57OfQIzh4B-L_JVyadJU9d0lxU90weMF7MpZ7rc5ed36nru2UzUfkAJuO6Kkj6Fo9YU-s3b7XAkPjaaimTAbRRLmiuhTrpWR_M/s320/06012012506.jpg" alt="" id="BLOGGER_PHOTO_ID_5694435966039374706" border="0" /></a>Muhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0tag:blogger.com,1999:blog-4345051363685870451.post-68616805151744201362012-01-05T23:32:00.000-08:002012-01-06T00:00:41.834-08:00Synopsis Of The Book or Movie<h1 style="text-align: justify;" id="firstHeading" class="firstHeading"><i>The Lord of the Rings</i> film trilogy</h1><div style="text-align: justify;"><br /></div><table class="infobox vevent" style="width: 22em; font-size: 90%; text-align: left; margin-left: 0px; margin-right: 0px;" cellspacing="5"> <tbody><tr> <th colspan="2" class="summary" style="text-align:center; font-size:125%; font-weight:bold; font-size: 110%; font-style: italic"><br /></th> </tr> <tr class=""> <td colspan="2" class="" style="text-align:center;"><a href="http://en.wikipedia.org/wiki/File:Ringstrilogyposter.jpg" class="image"><img alt="" src="http://upload.wikimedia.org/wikipedia/en/thumb/8/87/Ringstrilogyposter.jpg/220px-Ringstrilogyposter.jpg" height="190" width="220" /></a><br /><span style=" line-height: 1.5em;font-size:95%;" >The poster for the trilogy is a <a href="http://en.wikipedia.org/wiki/Photomontage" title="Photomontage">montage</a> that features a range of characters and scenes from all three movies.</span></td> </tr> <tr class=""> <th scope="row" style="text-align:left; white-space: nowrap">Directed by</th> <td class="description" style=""><a href="http://en.wikipedia.org/wiki/Peter_Jackson" title="Peter Jackson">Peter Jackson</a></td> </tr> <tr class=""> <th scope="row" style="text-align:left; white-space: nowrap">Produced by</th> <td class="" style="">Peter Jackson<br /><a href="http://en.wikipedia.org/wiki/Barrie_M._Osborne" title="Barrie M. Osborne">Barrie M. Osborne</a><br /><a href="http://en.wikipedia.org/wiki/Fran_Walsh" title="Fran Walsh">Fran Walsh</a><br /><a href="http://en.wikipedia.org/wiki/Mark_Ordesky" title="Mark Ordesky">Mark Ordesky</a><br />Tim Sanders (The Fellowship of the Ring)</td> </tr> <tr class=""> <th scope="row" style="text-align:left; white-space: nowrap">Screenplay by</th> <td class="" style="">Fran Walsh<br /><a href="http://en.wikipedia.org/wiki/Philippa_Boyens" title="Philippa Boyens">Philippa Boyens</a><br />Peter Jackson<br /><a href="http://en.wikipedia.org/wiki/Stephen_Sinclair" title="Stephen Sinclair">Stephen Sinclair</a> (The Two Towers)</td> </tr> <tr class=""> <th scope="row" style="text-align:left; white-space: nowrap">Based on</th> <td class="" style=""><i><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings" title="The Lord of the Rings">The Lord of the Rings</a></i> by<br /><a href="http://en.wikipedia.org/wiki/J._R._R._Tolkien" title="J. R. R. Tolkien">J. R. R. Tolkien</a></td> </tr> <tr class=""> <th scope="row" style="text-align:left; white-space: nowrap">Music by</th> <td class="" style=""><a href="http://en.wikipedia.org/wiki/Howard_Shore" title="Howard Shore">Howard Shore</a></td> </tr> <tr class=""> <th scope="row" style="text-align:left; white-space: nowrap">Cinematography</th> <td class="" style=""><a href="http://en.wikipedia.org/wiki/Andrew_Lesnie" title="Andrew Lesnie">Andrew Lesnie</a></td> </tr> <tr class=""> <th scope="row" style="text-align:left; white-space: nowrap">Studio</th> <td class="" style=""><a href="http://en.wikipedia.org/wiki/WingNut_Films" title="WingNut Films" class="mw-redirect">WingNut Films</a><br /><a href="http://en.wikipedia.org/wiki/Saul_Zaentz" title="Saul Zaentz">The Saul Zaentz Company</a></td> </tr> <tr class=""> <th scope="row" style="text-align:left; white-space: nowrap">Distributed by</th> <td class="" style=""><a href="http://en.wikipedia.org/wiki/New_Line_Cinema" title="New Line Cinema">New Line Cinema</a></td> </tr> <tr class=""> <th scope="row" style="text-align:left; white-space: nowrap">Release <span class="nowrap">date(s)</span></th> <td class="" style="">2001–2003</td> </tr> <tr class=""> <th scope="row" style="text-align:left; white-space: nowrap">Running time</th> <td class="" style="">557 minutes</td> </tr> <tr class=""> <th scope="row" style="text-align:left; white-space: nowrap">Country</th> <td class="" style="">New Zealand</td> </tr> <tr class=""> <th scope="row" style="text-align:left; white-space: nowrap">Language</th> <td class="" style="">English</td> </tr> <tr class=""> <th scope="row" style="text-align:left; white-space: nowrap">Budget</th> <td class="" style="">$281 million</td> </tr> <tr class=""> <th scope="row" style="text-align:left; white-space: nowrap">Box office</th> <td class="" style="">$2,915,155,189</td> </tr> </tbody></table> <p style="text-align: justify;"><i><b>The Lord of the Rings</b></i> is an <a href="http://en.wikipedia.org/wiki/Epic_film" title="Epic film">epic film</a> <a href="http://en.wikipedia.org/wiki/Trilogy" title="Trilogy">trilogy</a> consisting of three <a href="http://en.wikipedia.org/wiki/Fantasy_film" title="Fantasy film">fantasy</a> <a href="http://en.wikipedia.org/wiki/Adventure_film" title="Adventure film">adventure films</a> based on the <a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings" title="The Lord of the Rings">three-volume book</a> of the same name by <a href="http://en.wikipedia.org/wiki/England" title="England">English</a> author <a href="http://en.wikipedia.org/wiki/J._R._R._Tolkien" title="J. R. R. Tolkien">J. R. R. Tolkien</a>. The films are <i><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings:_The_Fellowship_of_the_Ring" title="The Lord of the Rings: The Fellowship of the Ring">The Fellowship of the Ring</a></i> (2001), <i><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings:_The_Two_Towers" title="The Lord of the Rings: The Two Towers">The Two Towers</a></i> (2002) and <i><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings:_The_Return_of_the_King" title="The Lord of the Rings: The Return of the King">The Return of the King</a></i> (2003).</p> <p style="text-align: justify;">The films were directed by <a href="http://en.wikipedia.org/wiki/Peter_Jackson" title="Peter Jackson">Peter Jackson</a> and distributed by <a href="http://en.wikipedia.org/wiki/New_Line_Cinema" title="New Line Cinema">New Line Cinema</a>. Considered to be one of the biggest and most ambitious movie projects ever undertaken, with an overall budget of $285 million, the entire project took eight years, with the filming for all three films done simultaneously and entirely in Jackson's native New Zealand. Each film in the trilogy also had Special Extended Editions, released on DVD a year after the theatrical releases. While the films follow the book's general storyline, they do omit some of the plot elements from the novel and include some additions to and other deviations from the source material.</p> <p style="text-align: justify;">Set in the fictional world of <a href="http://en.wikipedia.org/wiki/Middle-earth" title="Middle-earth">Middle-earth</a>, the three films follow the <a href="http://en.wikipedia.org/wiki/Hobbit" title="Hobbit">hobbit</a> <a href="http://en.wikipedia.org/wiki/Frodo_Baggins" title="Frodo Baggins">Frodo Baggins</a> (<a href="http://en.wikipedia.org/wiki/Elijah_Wood" title="Elijah Wood">Elijah Wood</a>) as he and a <a href="http://en.wikipedia.org/wiki/Fellowship_of_the_Ring_%28characters%29" title="Fellowship of the Ring (characters)" class="mw-redirect">Fellowship</a> embark on a quest to destroy the <a href="http://en.wikipedia.org/wiki/One_Ring" title="One Ring">One Ring</a>, and thus ensure the destruction of its maker, the Dark Lord <a href="http://en.wikipedia.org/wiki/Sauron" title="Sauron">Sauron</a>. The Fellowship becomes divided and Frodo continues the quest together with his loyal companion <a href="http://en.wikipedia.org/wiki/Samwise_Gamgee" title="Samwise Gamgee">Sam</a> (<a href="http://en.wikipedia.org/wiki/Sean_Astin" title="Sean Astin">Sean Astin</a>) and the treacherous <a href="http://en.wikipedia.org/wiki/Gollum" title="Gollum">Gollum</a> (<a href="http://en.wikipedia.org/wiki/Andy_Serkis" title="Andy Serkis">Andy Serkis</a>). Meanwhile, the <a href="http://en.wikipedia.org/wiki/Wizard_%28Middle-earth%29" title="Wizard (Middle-earth)">wizard</a> <a href="http://en.wikipedia.org/wiki/Gandalf" title="Gandalf">Gandalf</a> (<a href="http://en.wikipedia.org/wiki/Ian_McKellen" title="Ian McKellen">Ian McKellen</a>) and <a href="http://en.wikipedia.org/wiki/Aragorn" title="Aragorn">Aragorn</a> (<a href="http://en.wikipedia.org/wiki/Viggo_Mortensen" title="Viggo Mortensen">Viggo Mortensen</a>), heir in exile to the throne of <a href="http://en.wikipedia.org/wiki/Gondor" title="Gondor">Gondor</a>, unite and rally the <a href="http://en.wikipedia.org/wiki/Middle-earth_peoples#Free_peoples" title="Middle-earth peoples">Free Peoples of Middle-earth</a>, who are ultimately victorious in the <a href="http://en.wikipedia.org/wiki/War_of_the_Ring" title="War of the Ring">War of the Ring</a>.</p><div style="text-align: justify;"> The trilogy was a great financial success, with the films collectively being the <a href="http://en.wikipedia.org/wiki/List_of_highest-grossing_films" title="List of highest-grossing films">sixth highest-grossing film series of all-time</a> (behind <i><a href="http://en.wikipedia.org/wiki/Harry_Potter_%28film_series%29" title="Harry Potter (film series)">Harry Potter</a></i>, <i><a href="http://en.wikipedia.org/wiki/James_Bond_%28film_series%29" title="James Bond (film series)" class="mw-redirect">James Bond</a></i>, <i><a href="http://en.wikipedia.org/wiki/Star_Wars" title="Star Wars">Star Wars</a></i>, <i><a href="http://en.wikipedia.org/wiki/Pirates_of_the_Caribbean_%28film_series%29" title="Pirates of the Caribbean (film series)">Pirates of the Caribbean</a></i>, and <i><a href="http://en.wikipedia.org/wiki/Shrek_%28film_series%29" title="Shrek (film series)" class="mw-redirect">Shrek</a></i>). The films were critically acclaimed and heavily awarded, winning 17 out of 30 <a href="http://en.wikipedia.org/wiki/Academy_Award" title="Academy Award">Academy Awards</a> nominated in total. The final film in the trilogy, <i>The Return of the King</i>, won all 11 of the Academy Awards for which it was nominated, tying it with <i><a href="http://en.wikipedia.org/wiki/Ben-Hur_%281959_film%29" title="Ben-Hur (1959 film)">Ben-Hur</a></i> and <i><a href="http://en.wikipedia.org/wiki/Titanic_%281997_film%29" title="Titanic (1997 film)">Titanic</a></i> for most Academy Awards received for a film. The trilogy received wide praise for the innovative special effects, both practical and <a href="http://en.wikipedia.org/wiki/Computer-generated_imagery" title="Computer-generated imagery">digital</a>.<br /><br /><h2><span class="mw-headline" id="Development">Development</span></h2><p>Director Peter Jackson first came into contact with <i><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings" title="The Lord of the Rings">The Lord of the Rings</a></i> when he saw <a href="http://en.wikipedia.org/wiki/Ralph_Bakshi" title="Ralph Bakshi">Ralph Bakshi</a>'s <a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_%281978_film%29" title="The Lord of the Rings (1978 film)">1978 film</a>. Jackson "enjoyed the film and wanted to know more."<sup id="cite_ref-3" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-3"><span></span></a></sup> Afterwards, he read a tie-in edition of the book<sup id="cite_ref-4" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-4"><span></span><span></span></a></sup> during a twelve-hour train journey from <a href="http://en.wikipedia.org/wiki/Wellington" title="Wellington">Wellington</a> to <a href="http://en.wikipedia.org/wiki/Auckland" title="Auckland">Auckland</a> when he was seventeen.<sup id="cite_ref-Sibley-11_5-0" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-Sibley-11-5"><span></span></a></sup></p> <p>In 1995, Jackson was finishing <i><a href="http://en.wikipedia.org/wiki/The_Frighteners" title="The Frighteners">The Frighteners</a></i> and considered <i>The Lord of the Rings</i> as a new project, wondering "why nobody else seemed to be doing anything about it". With the new developments in <a href="http://en.wikipedia.org/wiki/Computer-generated_imagery" title="Computer-generated imagery">computer-generated imagery</a> following <i><a href="http://en.wikipedia.org/wiki/Jurassic_Park_%28film%29" title="Jurassic Park (film)">Jurassic Park</a></i>, Jackson set about planning a fantasy film that would be relatively serious and feel "real". By October, he and his partner <a href="http://en.wikipedia.org/wiki/Fran_Walsh" title="Fran Walsh">Fran Walsh</a> teamed up with <a href="http://en.wikipedia.org/wiki/Miramax_Films" title="Miramax Films">Miramax Films</a> boss <a href="http://en.wikipedia.org/wiki/Harvey_Weinstein" title="Harvey Weinstein">Harvey Weinstein</a> to negotiate with <a href="http://en.wikipedia.org/wiki/Saul_Zaentz" title="Saul Zaentz">Saul Zaentz</a> who had held the <a href="http://en.wikipedia.org/wiki/Film_rights" title="Film rights">rights</a> to the book since the early 1970s, pitching an adaptation of <i><a href="http://en.wikipedia.org/wiki/The_Hobbit" title="The Hobbit">The Hobbit</a></i> and two films based on <i>The Lord of the Rings</i>. Negotiations then stalled when <a href="http://en.wikipedia.org/wiki/Universal_Studios" title="Universal Studios">Universal Studios</a> offered Jackson a <a href="http://en.wikipedia.org/wiki/Remake" title="Remake">remake</a> of <i><a href="http://en.wikipedia.org/wiki/King_Kong_%281933_film%29" title="King Kong (1933 film)">King Kong</a></i>.<sup id="cite_ref-cheat_6-0" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-cheat-6"><span></span></a></sup> Weinstein was furious, and further problems arose when it turned out Zaentz did not have distribution rights to <i>The Hobbit</i>; <a href="http://en.wikipedia.org/wiki/United_Artists" title="United Artists">United Artists</a>, which was in the market, did. By April 1996 the rights question was still not resolved.<sup id="cite_ref-cheat_6-1" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-cheat-6"><span></span><span></span></a></sup> Jackson decided to move ahead with <i>King Kong</i> before filming <i>The Lord of the Rings</i>, prompting Universal to enter a deal with Miramax to receive foreign earnings from <i>The Lord of the Rings</i> while Miramax received foreign earnings from <i>King Kong</i>.<sup id="cite_ref-cheat_6-2" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-cheat-6"><span></span></a></sup> It was also revealed that Jackson originally wanted to finish <i>King Kong</i> before the Lord of the Rings began. But due to location problems he decided to start with <i>The Lord of the Rings</i> franchise instead.</p> <p>When Universal cancelled <i><a href="http://en.wikipedia.org/wiki/King_Kong_%282005_film%29" title="King Kong (2005 film)">King Kong</a></i> in 1997,<sup id="cite_ref-Timeline_7-0" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-Timeline-7"><span> </span><span></span></a></sup>Jackson and Walsh immediately received support from Weinstein and began a six-week <a href="http://en.wikipedia.org/wiki/Chain_of_title" title="Chain of title">process of sorting out the rights</a>. Jackson and Walsh asked <a href="http://en.wikipedia.org/wiki/Costa_Botes" title="Costa Botes">Costa Botes</a> to write a <a href="http://en.wikipedia.org/wiki/Summary" title="Summary">synopsis</a> of the book and they began to re-read the book. Two to three months later, they had written their <a href="http://en.wikipedia.org/wiki/Film_treatment" title="Film treatment">treatment</a>. The first film would have dealt with what would become <i><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings:_The_Fellowship_of_the_Ring" title="The Lord of the Rings: The Fellowship of the Ring">The Lord of the Rings: The Fellowship of the Ring</a></i>, <i><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings:_The_Two_Towers" title="The Lord of the Rings: The Two Towers">The Lord of the Rings: The Two Towers</a></i>, and the beginning of <i><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings:_The_Return_of_the_King" title="The Lord of the Rings: The Return of the King">The Lord of the Rings: The Return of the King</a></i>, ending with the death of <a href="http://en.wikipedia.org/wiki/Saruman" title="Saruman">Saruman</a>, and <a href="http://en.wikipedia.org/wiki/Gandalf" title="Gandalf">Gandalf</a> and <a href="http://en.wikipedia.org/wiki/Peregrin_Took" title="Peregrin Took">Pippin</a> going to <a href="http://en.wikipedia.org/wiki/Minas_Tirith" title="Minas Tirith">Minas Tirith</a>. In this treatment, <a href="http://en.wikipedia.org/wiki/Gwaihir" title="Gwaihir" class="mw-redirect">Gwaihir</a> and Gandalf visit <a href="http://en.wikipedia.org/wiki/Edoras" title="Edoras" class="mw-redirect">Edoras</a> after escaping Saruman, Gollum attacks Frodo when the Fellowship is still united, and <a href="http://en.wikipedia.org/wiki/Farmer_Maggot" title="Farmer Maggot">Farmer Maggot</a>, <a href="http://en.wikipedia.org/wiki/Glorfindel" title="Glorfindel">Glorfindel</a>, <a href="http://en.wikipedia.org/wiki/Radagast_%28Middle-earth%29" title="Radagast (Middle-earth)" class="mw-redirect">Radagast</a>, <a href="http://en.wikipedia.org/wiki/Elladan_and_Elrohir" title="Elladan and Elrohir">Elladan and Elrohir</a> are present. Bilbo attends the <a href="http://en.wikipedia.org/wiki/Council_of_Elrond" title="Council of Elrond" class="mw-redirect">Council of Elrond</a>, Sam looks into <a href="http://en.wikipedia.org/wiki/Galadriel" title="Galadriel">Galadriel</a>'s <a href="http://en.wikipedia.org/wiki/Mirror_of_Galadriel" title="Mirror of Galadriel" class="mw-redirect">mirror</a>, Saruman is redeemed before he dies and the <a href="http://en.wikipedia.org/wiki/Nazg%C3%BBl" title="Nazgûl">Nazgûl</a> just make it into <a href="http://en.wikipedia.org/wiki/Mount_Doom" title="Mount Doom">Mount Doom</a> before they fall. They presented their treatment to Harvey and <a href="http://en.wikipedia.org/wiki/Bob_Weinstein" title="Bob Weinstein">Bob Weinstein</a>, the latter of whom they focused on impressing with their <a href="http://en.wikipedia.org/wiki/Screenwriting" title="Screenwriting">screenwriting</a> as he had not read the book. They agreed upon two films and a total budget of $75 million.<sup id="cite_ref-quest_8-2" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-quest-8"><span></span></a></sup></p> <p>During mid-1997,<sup id="cite_ref-FOTR_SEE_9-0" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-FOTR_SEE-9"><span></span><span></span></a></sup> Jackson and Walsh began writing with Stephen Sinclair.<sup id="cite_ref-quest_8-3" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-quest-8"><span></span></a></sup> Sinclair's partner, <a href="http://en.wikipedia.org/wiki/Philippa_Boyens" title="Philippa Boyens">Philippa Boyens</a>, was a major fan of the book and joined the writing team after reading their treatment.<sup id="cite_ref-FOTR_SEE_9-1" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-FOTR_SEE-9"><span></span></a></sup> It took 13–14 months to write the two film scripts,<sup id="cite_ref-FOTR_SEE_9-2" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-FOTR_SEE-9"><span></span></a></sup> which were 147 and 144 pages respectively. Sinclair left the project due to theatrical obligations. Amongst their revisions, Sam is caught eavesdropping and forced to go along with Frodo, instead of Sam, Merry, and Pippin figuring out about the One Ring themselves and voluntarily going along after confronting Frodo about it, as occurs in the original novel. Gandalf's account of his time at <a href="http://en.wikipedia.org/wiki/Orthanc" title="Orthanc" class="mw-redirect">Orthanc</a> was pulled out of flashback and <a href="http://en.wikipedia.org/wiki/Lothl%C3%B3rien" title="Lothlórien">Lothlórien</a> was cut, with Galadriel doing what she does in the story at Rivendell. <a href="http://en.wikipedia.org/wiki/Denethor" title="Denethor">Denethor</a> attends the Council with his son. Other changes included having <a href="http://en.wikipedia.org/wiki/Arwen" title="Arwen">Arwen</a> rescue Frodo, and the action sequence involving the cave <a href="http://en.wikipedia.org/wiki/Troll_%28Middle-earth%29" title="Troll (Middle-earth)">troll</a>. Arwen was even going to kill the <a href="http://en.wikipedia.org/wiki/Witch-king" title="Witch-king" class="mw-redirect">Witch-king</a>.<sup id="cite_ref-quest_8-4" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-quest-8"><span></span></a></sup></p> <p>Trouble struck when <a href="http://en.wikipedia.org/wiki/Marty_Katz" title="Marty Katz">Marty Katz</a> was sent to New Zealand. Spending four months there, he told Miramax that the films were more likely to cost $150 million, and with Miramax unable to finance this, and with $15 million already spent, they decided to merge the two films into one. On 17 June 1998, Bob Weinstein presented a treatment of a single two-hour film version of the book. He suggested cutting <a href="http://en.wikipedia.org/wiki/Bree_%28Middle-earth%29" title="Bree (Middle-earth)">Bree</a> and the <a href="http://en.wikipedia.org/wiki/Battle_of_Helm%27s_Deep" title="Battle of Helm's Deep" class="mw-redirect">Battle of Helm's Deep</a>, "losing or using" <a href="http://en.wikipedia.org/wiki/Saruman" title="Saruman">Saruman</a>, merging <a href="http://en.wikipedia.org/wiki/Rohan" title="Rohan">Rohan</a> and <a href="http://en.wikipedia.org/wiki/Gondor" title="Gondor">Gondor</a> with <a href="http://en.wikipedia.org/wiki/%C3%89owyn" title="Éowyn">Éowyn</a> as <a href="http://en.wikipedia.org/wiki/Boromir" title="Boromir">Boromir</a>'s sister, shortening <a href="http://en.wikipedia.org/wiki/Rivendell" title="Rivendell">Rivendell</a> and <a href="http://en.wikipedia.org/wiki/Moria_%28Middle-earth%29" title="Moria (Middle-earth)">Moria</a> as well as having <a href="http://en.wikipedia.org/wiki/Ent" title="Ent">Ents</a> prevent the <a href="http://en.wikipedia.org/wiki/Uruk-hai" title="Uruk-hai">Uruk-hai</a> kidnapping Merry and Pippin.<sup id="cite_ref-quest_8-5" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-quest-8"><span></span><span></span></a></sup> Upset by the idea of "cutting out half the good stuff"<sup id="cite_ref-FOTR_SEE_9-3" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-FOTR_SEE-9"><span></span></a></sup> Jackson balked, and Miramax declared that any script or work completed by <a href="http://en.wikipedia.org/wiki/Weta_Workshop" title="Weta Workshop">Weta Workshop</a> was theirs.<sup id="cite_ref-quest_8-6" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-quest-8"><span></span></a></sup> Jackson went around Hollywood for four weeks,<sup id="cite_ref-FOTR_SEE_9-4" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-FOTR_SEE-9"><span></span></a></sup> showing a thirty-five minute video of their work, before meeting with <a href="http://en.wikipedia.org/wiki/Mark_Ordesky" title="Mark Ordesky">Mark Ordesky</a> of <a href="http://en.wikipedia.org/wiki/New_Line_Cinema" title="New Line Cinema">New Line Cinema</a>. At New Line Cinema, <a href="http://en.wikipedia.org/wiki/Robert_Shaye" title="Robert Shaye">Robert Shaye</a> viewed the video, and then asked why they were making two films when the book was published as three volumes; he wanted to make a film <a href="http://en.wikipedia.org/wiki/Trilogy" title="Trilogy">trilogy</a>. Now Jackson, Walsh, and Boyens had to write three new scripts.<sup id="cite_ref-FOTR_SEE_9-5" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-FOTR_SEE-9"><span></span><span></span></a></sup></p> <p>The expansion to three films allowed much more creative freedom, although Jackson, Walsh, and Boyens had to restructure their script accordingly. The three films do not correspond exactly to the three volumes of the trilogy, but rather represent a three-part <a href="http://en.wikipedia.org/wiki/Film_adaptation" title="Film adaptation">adaptation</a>. Jackson takes a more <a href="http://en.wikipedia.org/wiki/Chronological" title="Chronological" class="mw-redirect">chronological</a> approach to the story than did Tolkien. Frodo's quest is the main focus, and Aragorn is the main sub-plot, and many sequences (such as <a href="http://en.wikipedia.org/wiki/Tom_Bombadil" title="Tom Bombadil">Tom Bombadil</a> and <a href="http://en.wikipedia.org/wiki/The_Scouring_of_the_Shire" title="The Scouring of the Shire">the Scouring of the Shire</a>) that do not contribute directly to those two plots were left out. Much effort was put into creating satisfactory conclusions and making sure <a href="http://en.wikipedia.org/wiki/Exposition_%28literary_technique%29" title="Exposition (literary technique)">exposition</a> did not bog down the pacing. Amongst new sequences, there are also expansions on elements Tolkien kept ambiguous, such as the <a href="http://en.wikipedia.org/wiki/Battle" title="Battle">battles</a> and the creatures.</p> <p>Above all, most characters have been altered for extra drama: <a href="http://en.wikipedia.org/wiki/Aragorn" title="Aragorn">Aragorn</a>, <a href="http://en.wikipedia.org/wiki/Th%C3%A9oden" title="Théoden">Théoden</a>, and <a href="http://en.wikipedia.org/wiki/Treebeard" title="Treebeard">Treebeard</a> have added or modified elements of self-doubt, while the personalities of <a href="http://en.wikipedia.org/wiki/Galadriel" title="Galadriel">Galadriel</a>, <a href="http://en.wikipedia.org/wiki/Elrond" title="Elrond">Elrond</a>, and <a href="http://en.wikipedia.org/wiki/Faramir" title="Faramir">Faramir</a> have been darkened. <a href="http://en.wikipedia.org/wiki/Boromir" title="Boromir">Boromir</a> and <a href="http://en.wikipedia.org/wiki/Gollum" title="Gollum">Gollum</a> are (arguably) relatively more sympathetic, while some characters such as <a href="http://en.wikipedia.org/wiki/Legolas" title="Legolas">Legolas</a>, <a href="http://en.wikipedia.org/wiki/Gimli_%28Middle-earth%29" title="Gimli (Middle-earth)">Gimli</a>, <a href="http://en.wikipedia.org/wiki/Saruman" title="Saruman">Saruman</a>, and <a href="http://en.wikipedia.org/wiki/Denethor" title="Denethor">Denethor</a> have been simplified.<sup class="Template-Fact" style="white-space:nowrap;"></sup> Some characters, such as <a href="http://en.wikipedia.org/wiki/Arwen" title="Arwen">Arwen</a> and <a href="http://en.wikipedia.org/wiki/%C3%89omer" title="Éomer">Éomer</a>, have been combined with lesser book characters such as <a href="http://en.wikipedia.org/wiki/Glorfindel" title="Glorfindel">Glorfindel</a> and <a href="http://en.wikipedia.org/wiki/Erkenbrand" title="Erkenbrand">Erkenbrand</a>, and as a general matter lines of dialogue have sometimes been switched around between locations or characters depending on suitability of the scenes.<sup class="Template-Fact" style="white-space:nowrap;"></sup> New scenes were also added to expand on <a href="http://en.wikipedia.org/wiki/Characterisation" title="Characterisation" class="mw-redirect">characterisation</a>. During <a href="http://en.wikipedia.org/wiki/Filmmaking#Production" title="Filmmaking">shooting</a>, the screenplays continued to evolve, in part due to contributions from cast looking to further explore their characters.<sup id="cite_ref-FOTR_SEE_9-6" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-FOTR_SEE-9"><span></span></a></sup> Most notable amongst these rewrites was the character <a href="http://en.wikipedia.org/wiki/Arwen" title="Arwen">Arwen</a>, who was originally planned as a warrior <a href="http://en.wikipedia.org/wiki/Princess" title="Princess">princess</a>, but reverted back to her book counterpart, who remains physically inactive in the story (though she sends moral and military support).<sup id="cite_ref-TTT_SEE_12-0" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-TTT_SEE-12"><span></span><span></span></a></sup></p> To develop fight and sword choreography for the trilogy, the filmmakers employed Hollywood sword-master <a href="http://en.wikipedia.org/wiki/Bob_Anderson_%28fencer%29" title="Bob Anderson (fencer)">Bob Anderson</a>. Anderson worked directly with the talent including <a href="http://en.wikipedia.org/wiki/Viggo_Mortensen" title="Viggo Mortensen">Viggo Mortensen</a> and <a href="http://en.wikipedia.org/wiki/Karl_Urban" title="Karl Urban">Karl Urban</a> to develop the many sword fights and stunts within the film.<sup id="cite_ref-13" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-13"><span></span><span></span></a></sup> Bob Anderson's role in the Lord of the Rings Trilogy was highlighted in the film <i><a href="http://en.wikipedia.org/wiki/Reclaiming_the_Blade" title="Reclaiming the Blade">Reclaiming the Blade</a></i>. This documentary on sword martial arts also featured <a href="http://en.wikipedia.org/wiki/Weta_Workshop" title="Weta Workshop">Weta Workshop</a> and Richard Taylor, Lord of the Rings illustrator John Howe and actors <a href="http://en.wikipedia.org/wiki/Viggo_Mortensen" title="Viggo Mortensen">Viggo Mortensen</a> and <a href="http://en.wikipedia.org/wiki/Karl_Urban" title="Karl Urban">Karl Urban</a>. All discussed their roles and work on the trilogy as related to the sword.<br /><br /><h2><span class="mw-headline" id="Production_design">Production design</span></h2><p><img src="data:image/png;base64,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" alt="" /></p><p>Jackson began <a href="http://en.wikipedia.org/wiki/Storyboarding" title="Storyboarding" class="mw-redirect">storyboarding</a> the trilogy with <a href="http://en.wikipedia.org/wiki/Christian_Rivers" title="Christian Rivers">Christian Rivers</a> in August 1997 and assigned his crew to begin designing Middle-earth at the same time.<sup id="cite_ref-TTT_Art_15-0" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-TTT_Art-15"><span></span><span></span></a></sup>Jackson hired long-time collaborator <a href="http://en.wikipedia.org/wiki/Richard_Taylor_%28filmmaker%29" title="Richard Taylor (filmmaker)">Richard Taylor</a> to lead <a href="http://en.wikipedia.org/wiki/Weta_Workshop" title="Weta Workshop">Weta Workshop</a> on five major design elements: armour, weapons, prosthetics/make-up, creatures, and miniatures. In November 1997,<sup id="cite_ref-FOTR_SEE_9-7" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-FOTR_SEE-9"><span> </span><span></span></a></sup>famed Tolkien illustrators <a href="http://en.wikipedia.org/wiki/Alan_Lee_%28illustrator%29" title="Alan Lee (illustrator)">Alan Lee</a> and <a href="http://en.wikipedia.org/wiki/John_Howe_%28illustrator%29" title="John Howe (illustrator)">John Howe</a> joined the project. Most of the imagery in the films is based on their various illustrations.<sup id="cite_ref-Lord_of_the_Films_16-0" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-Lord_of_the_Films-16"><span></span></a></sup> Grant Major, <a href="http://en.wikipedia.org/wiki/Production_designer" title="Production designer">production designer</a> was charged with the task of converting Lee and Howe's designs into <a href="http://en.wikipedia.org/wiki/Architecture" title="Architecture">architecture</a>, creating models of the sets, while Dan Hennah worked as <a href="http://en.wikipedia.org/wiki/Art_director#In_film" title="Art director">art director</a>, scouting locations and organising the building of sets.</p> Jackson's vision of <a href="http://en.wikipedia.org/wiki/Middle-earth" title="Middle-earth">Middle-earth</a> was described as being "<a href="http://en.wikipedia.org/wiki/Ray_Harryhausen" title="Ray Harryhausen">Ray Harryhausen</a> meets <a href="http://en.wikipedia.org/wiki/David_Lean" title="David Lean">David Lean</a>" by Randy Cook.<sup id="cite_ref-ROTK_SEE_17-0" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-ROTK_SEE-17"><span> </span><span></span></a></sup>Jackson wanted a gritty realism and historical regard for the fantasy, and attempted to make the world rational and believable. For example, the <a href="http://en.wikipedia.org/wiki/New_Zealand_Army" title="New Zealand Army">New Zealand Army</a> helped build <a href="http://en.wikipedia.org/wiki/Hobbiton" title="Hobbiton" class="mw-redirect">Hobbiton</a> months before filming began so the plants could really grow.<sup id="cite_ref-18" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-18"><span></span><span></span></a></sup> Creatures were designed to be biologically believable, such as the enormous wings of the <a href="http://en.wikipedia.org/wiki/Fell_beast_%28Middle-earth%29" title="Fell beast (Middle-earth)" class="mw-redirect">fell beast</a> to help it fly.<sup id="cite_ref-19" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-19"><span></span><span></span></a></sup> In total, 48,000 pieces of armour, 500 bows, and 10,000 arrows were created by Weta Workshop.<sup id="cite_ref-Trilogy_book_20-0" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-Trilogy_book-20"><span></span><span></span></a></sup> They also created many prosthetics, such as 1,800 pairs of Hobbit feet for the lead actors,<sup id="cite_ref-FOTR_SEE_9-8" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-FOTR_SEE-9"><span></span><span></span></a></sup> as well as many ears, noses, and heads for the cast, and around 19,000 costumes were woven and aged.<sup id="cite_ref-FOTR_SEE_9-9" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-FOTR_SEE-9"><span></span><span></span></a></sup> Every prop was specially designed by the Art Department, taking the different scales into account.<br /><br /><h2><span class="mw-headline" id="Filming">Filming</span></h2><p><a href="http://en.wikipedia.org/wiki/Principal_photography" title="Principal photography">Principal photography</a> for all three films was conducted concurrently in many locations within New Zealand's conservation areas and national parks between 11 October 1999, and 22 December 2000, a period of 438 days. <a href="http://en.wikipedia.org/wiki/Pick-up_%28filmmaking%29" title="Pick-up (filmmaking)">Pick-up</a> shoots were conducted annually from 2001 to 2004. The trilogy was shot at over 150 different locations,<sup id="cite_ref-Trilogy_book_20-1" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-Trilogy_book-20"><span></span><span></span></a></sup> with seven different units shooting, as well as soundstages around <a href="http://en.wikipedia.org/wiki/Wellington" title="Wellington">Wellington</a> and <a href="http://en.wikipedia.org/wiki/Queenstown,_New_Zealand" title="Queenstown, New Zealand">Queenstown</a>. As well as Jackson directing the whole production, other unit directors included John Mahaffie, Geoff Murphy, <a href="http://en.wikipedia.org/wiki/Fran_Walsh" title="Fran Walsh">Fran Walsh</a>, Barrie Osbourne, Rick Porras, and any other assistant director, producer, or writer available. Jackson monitored these units with live satellite feeds, and with the added pressure of constant script re-writes and the multiple units interpreting his envisioned result, he only got around four hours of sleep a night.<sup id="cite_ref-TTT_SEE_12-1" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-TTT_SEE-12"><span></span><span></span></a></sup> Due to the remoteness of some of the locations, the crew would also bring survival kits in case helicopters could not reach the location to bring them home in time.<sup id="cite_ref-FOTR_SEE_9-11" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-FOTR_SEE-9"><span></span><span></span></a></sup> The New Zealand Department of Conservation was criticised for approving the filming within national parks without adequate consideration of the adverse environmental effects and without public notification.<sup id="cite_ref-21" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-21"><span></span></a></sup> The adverse effects of filming battle scenes in <a href="http://en.wikipedia.org/wiki/Tongariro_National_Park" title="Tongariro National Park">Tongariro National Park</a> later required restoration work.</p><h2><span class="mw-headline" id="Cast">Cast</span></h2><p>The following is a list of cast members who voiced or portrayed characters appearing in the extended version of <i><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings" title="The Lord of the Rings">The Lord of the Rings</a></i> film trilogy.</p> <table id="collapsibleTable0" class="wikitable collapsible" style="text-align: center; clear: both;"> <tbody><tr align="left"> <th style="width: 20%;" rowspan="2"><span class="collapseButton"></span><a href="http://en.wikipedia.org/wiki/Category:Characters_in_The_Lord_of_the_Rings" title="Category:Characters in The Lord of the Rings">Character</a></th> <th colspan="3">Film</th> </tr> <tr align="left"> <th style="width: 26.6%;"><i><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings:_The_Fellowship_of_the_Ring" title="The Lord of the Rings: The Fellowship of the Ring">The Fellowship of the Ring</a></i><sup id="cite_ref-23" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-23"><span></span><span></span></a></sup></th> <th style="width: 26.7%;"><i><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings:_The_Two_Towers" title="The Lord of the Rings: The Two Towers">The Two Towers</a></i><sup id="cite_ref-24" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-24"><span></span><span></span></a></sup></th> <th style="width: 26.7%;"><i><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings:_The_Return_of_the_King" title="The Lord of the Rings: The Return of the King">The Return of the King</a></i><sup id="cite_ref-25" class="reference"><a href="http://en.wikipedia.org/wiki/The_Lord_of_the_Rings_film_trilogy#cite_note-25"><span></span></a></sup></th> </tr> <tr align="left"> <th colspan="4" style="background: none repeat scroll 0% 0% lightblue;"> <h3><span class="editsection"></span> <span class="mw-headline" id="Fellowship">Fellowship</span></h3> </th> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Aragorn" title="Aragorn">Aragorn</a></th> <td colspan="3"><a href="http://en.wikipedia.org/wiki/Viggo_Mortensen" title="Viggo Mortensen">Viggo Mortensen</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Frodo_Baggins" title="Frodo Baggins">Frodo Baggins</a></th> <td colspan="3"><a href="http://en.wikipedia.org/wiki/Elijah_Wood" title="Elijah Wood">Elijah Wood</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Boromir" title="Boromir">Boromir</a></th> <td colspan="3"><a href="http://en.wikipedia.org/wiki/Sean_Bean" title="Sean Bean">Sean Bean</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Meriadoc_Brandybuck" title="Meriadoc Brandybuck">Meriadoc "Merry" Brandybuck</a></th> <td colspan="3"><a href="http://en.wikipedia.org/wiki/Dominic_Monaghan" title="Dominic Monaghan">Dominic Monaghan</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Samwise_Gamgee" title="Samwise Gamgee">Samwise Gamgee</a></th> <td colspan="3"><a href="http://en.wikipedia.org/wiki/Sean_Astin" title="Sean Astin">Sean Astin</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Gandalf" title="Gandalf">Gandalf</a></th> <td colspan="3"><a href="http://en.wikipedia.org/wiki/Ian_McKellen" title="Ian McKellen">Ian McKellen</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Gimli_%28Middle-earth%29" title="Gimli (Middle-earth)">Gimli</a></th> <td colspan="3"><a href="http://en.wikipedia.org/wiki/John_Rhys-Davies" title="John Rhys-Davies">John Rhys-Davies</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Legolas" title="Legolas">Legolas</a></th> <td colspan="3"><a href="http://en.wikipedia.org/wiki/Orlando_Bloom" title="Orlando Bloom">Orlando Bloom</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Peregrin_Took" title="Peregrin Took">Peregrin "Pippin" Took</a></th> <td colspan="3"><a href="http://en.wikipedia.org/wiki/Billy_Boyd_%28actor%29" title="Billy Boyd (actor)">Billy Boyd</a></td> </tr> <tr align="left"> <th colspan="4" style="background: none repeat scroll 0% 0% lightblue;"> <h3><span class="editsection"></span><span class="mw-headline" id="The_Shire_and_Bree">The Shire and Bree</span></h3> </th> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Bilbo_Baggins" title="Bilbo Baggins">Bilbo Baggins</a></th> <td><a href="http://en.wikipedia.org/wiki/Ian_Holm" title="Ian Holm">Ian Holm</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Ian_Holm" title="Ian Holm">Ian Holm</a></td> </tr> <tr align="left"> <th>Mrs. Bracegirdle</th> <td><a href="http://en.wikipedia.org/wiki/Lori_Dungey" title="Lori Dungey">Lori Dungey</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Barliman_Butterbur" title="Barliman Butterbur">Barliman Butterbur</a></th> <td><a href="http://en.wikipedia.org/wiki/David_Weatherley" title="David Weatherley">David Weatherley</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Rosie_Cotton" title="Rosie Cotton" class="mw-redirect">Rosie Cotton</a></th> <td><a href="http://en.wikipedia.org/wiki/Sarah_McLeod" title="Sarah McLeod">Sarah McLeod</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Sarah_McLeod" title="Sarah McLeod">Sarah McLeod</a></td> </tr> <tr align="left"> <th>Gaffer Gamgee</th> <td><a href="http://en.wikipedia.org/w/index.php?title=Norman_Forsey&action=edit&redlink=1" class="new" title="Norman Forsey (page does not exist)">Norman Forsey</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th>Elanor Gamgee</th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Alexandra_Astin" title="Alexandra Astin" class="mw-redirect">Alexandra Astin</a></td> </tr> <tr align="left"> <th>Bree Gate Keeper</th> <td><a href="http://en.wikipedia.org/wiki/Martyn_Sanderson" title="Martyn Sanderson">Martyn Sanderson</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th>Farmer Maggot</th> <td><a href="http://en.wikipedia.org/wiki/Cameron_Rhodes" title="Cameron Rhodes">Cameron Rhodes</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th>Old Noakes</th> <td>Bill Johnson</td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th>Everard Proudfoot</th> <td><a href="http://en.wikipedia.org/w/index.php?title=Noel_Appleby&action=edit&redlink=1" class="new" title="Noel Appleby (page does not exist)">Noel Appleby</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/w/index.php?title=Noel_Appleby&action=edit&redlink=1" class="new" title="Noel Appleby (page does not exist)">Noel Appleby</a></td> </tr> <tr align="left"> <th>Mrs. Proudfoot</th> <td><a href="http://en.wikipedia.org/w/index.php?title=Megan_Edwards&action=edit&redlink=1" class="new" title="Megan Edwards (page does not exist)">Megan Edwards</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th>Otho Sackville</th> <td><a href="http://en.wikipedia.org/wiki/Peter_Corrigan" title="Peter Corrigan">Peter Corrigan</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th>Lobelia Sackville-Baggins</th> <td><a href="http://en.wikipedia.org/wiki/Elizabeth_Moody_%28actor%29" title="Elizabeth Moody (actor)">Elizabeth Moody</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th>Ted Sandyman</th> <td><a href="http://en.wikipedia.org/wiki/Brian_Sergent" title="Brian Sergent">Brian Sergent</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th colspan="4" style="background: none repeat scroll 0% 0% lightblue;"> <h3><span class="editsection">[<a href="http://en.wikipedia.org/w/index.php?title=The_Lord_of_the_Rings_film_trilogy&action=edit&section=7" title="Edit section: Rivendell and Lothlórien">edit</a>]</span> <span class="mw-headline" id="Rivendell_and_Lothl.C3.B3rien">Rivendell and Lothlórien</span></h3> </th> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Arwen" title="Arwen">Arwen</a></th> <td colspan="3"><a href="http://en.wikipedia.org/wiki/Liv_Tyler" title="Liv Tyler">Liv Tyler</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Lord_Celeborn" title="Lord Celeborn" class="mw-redirect">Lord Celeborn</a></th> <td><a href="http://en.wikipedia.org/wiki/Marton_Csokas" title="Marton Csokas">Marton Csokas</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Marton_Csokas" title="Marton Csokas">Marton Csokas</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Elrond" title="Elrond">Lord Elrond</a></th> <td colspan="3"><a href="http://en.wikipedia.org/wiki/Hugo_Weaving" title="Hugo Weaving">Hugo Weaving</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Lady_Galadriel" title="Lady Galadriel" class="mw-redirect">Lady Galadriel</a></th> <td colspan="3"><a href="http://en.wikipedia.org/wiki/Cate_Blanchett" title="Cate Blanchett">Cate Blanchett</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Haldir_of_L%C3%B3rien" title="Haldir of Lórien" class="mw-redirect">Haldir</a></th> <td colspan="2"><a href="http://en.wikipedia.org/wiki/Craig_Parker" title="Craig Parker">Craig Parker</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/R%C3%BAmil" title="Rúmil" class="mw-redirect">Rúmil</a></th> <td><a href="http://en.wikipedia.org/w/index.php?title=J%C3%B8rn_Benzon&action=edit&redlink=1" class="new" title="Jørn Benzon (page does not exist)">Jørn Benzon</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th colspan="4" style="background: none repeat scroll 0% 0% lightblue;"> <h3><span class="editsection"></span><span class="mw-headline" id="Rohan_and_Gondor">Rohan and Gondor</span></h3> </th> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Damrod" title="Damrod" class="mw-redirect">Damrod</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Alistair_Browning" title="Alistair Browning">Alistair Browning</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Denethor" title="Denethor">Denethor</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td colspan="2"><a href="http://en.wikipedia.org/wiki/John_Noble" title="John Noble">John Noble</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/%C3%89omer" title="Éomer">Éomer</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td colspan="2"><a href="http://en.wikipedia.org/wiki/Karl_Urban" title="Karl Urban">Karl Urban</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/%C3%89othain" title="Éothain">Éothain</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/w/index.php?title=Sam_Comery&action=edit&redlink=1" class="new" title="Sam Comery (page does not exist)">Sam Comery</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/%C3%89owyn" title="Éowyn">Éowyn</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td colspan="2"><a href="http://en.wikipedia.org/wiki/Miranda_Otto" title="Miranda Otto">Miranda Otto</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Faramir" title="Faramir">Faramir</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td colspan="2"><a href="http://en.wikipedia.org/wiki/David_Wenham" title="David Wenham">David Wenham</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/List_of_original_characters_in_The_Lord_of_the_Rings_film_trilogy" title="List of original characters in The Lord of the Rings film trilogy">Freda</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Olivia_Tennet" title="Olivia Tennet">Olivia Tennet</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Gamling" title="Gamling">Gamling</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td colspan="2"><a href="http://en.wikipedia.org/wiki/Bruce_Hopkins_%28actor%29" title="Bruce Hopkins (actor)">Bruce Hopkins</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Grimbold" title="Grimbold">Grimbold</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Bruce_Phillips" title="Bruce Phillips">Bruce Phillips</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/H%C3%A1ma_%28Middle-earth%29" title="Háma (Middle-earth)">Háma</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/John_Leigh_%28actor%29" title="John Leigh (actor)">John Leigh</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/List_of_original_characters_in_The_Lord_of_the_Rings_film_trilogy#Haleth" title="List of original characters in The Lord of the Rings film trilogy">Haleth</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Calum_Gittins" title="Calum Gittins">Calum Gittins</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/List_of_original_characters_in_The_Lord_of_the_Rings_film_trilogy#Irolas" title="List of original characters in The Lord of the Rings film trilogy">Irolas</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/w/index.php?title=Ian_Hughes_%28actor%29&action=edit&redlink=1" class="new" title="Ian Hughes (actor) (page does not exist)">Ian Hughes</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Dead_Men_of_Dunharrow" title="Dead Men of Dunharrow">King of the Dead</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Paul_Norell" title="Paul Norell">Paul Norell</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Madril" title="Madril" class="mw-redirect">Madril</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td colspan="2"><a href="http://en.wikipedia.org/wiki/John_Bach" title="John Bach">John Bach</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/List_of_original_characters_in_The_Lord_of_the_Rings_film_trilogy#Morwen" title="List of original characters in The Lord of the Rings film trilogy">Morwen</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Robyn_Malcolm" title="Robyn Malcolm">Robyn Malcolm</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/King_Th%C3%A9oden" title="King Théoden" class="mw-redirect">King Théoden</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td colspan="2"><a href="http://en.wikipedia.org/wiki/Bernard_Hill" title="Bernard Hill">Bernard Hill</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Th%C3%A9odred" title="Théodred">Théodred</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Paris_Howe_Strewe" title="Paris Howe Strewe" class="mw-redirect">Paris Howe Strewe</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Treebeard" title="Treebeard">Treebeard</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td colspan="2"><a href="http://en.wikipedia.org/wiki/John_Rhys-Davies" title="John Rhys-Davies">John Rhys-Davies</a> (voice)</td> </tr> <tr align="left"> <th colspan="4" style="background: none repeat scroll 0% 0% lightblue;"> <h3><span class="editsection"></span><span class="mw-headline" id="Isengard_and_Mordor">Isengard and Mordor</span></h3> </th> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Gollum" title="Gollum">Sméagol/Gollum</a></th> <td colspan="3"><a href="http://en.wikipedia.org/wiki/Andy_Serkis" title="Andy Serkis">Andy Serkis</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Gorbag" title="Gorbag" class="mw-redirect">Gorbag</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/w/index.php?title=Stephen_Ure&action=edit&redlink=1" class="new" title="Stephen Ure (page does not exist)">Stephen Ure</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/List_of_original_characters_in_The_Lord_of_the_Rings_film_trilogy" title="List of original characters in The Lord of the Rings film trilogy">Gothmog</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Lawrence_Makoare" title="Lawrence Makoare">Lawrence Makoare</a><br /><a href="http://en.wikipedia.org/wiki/Craig_Parker" title="Craig Parker">Craig Parker</a> (voice)</td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Gr%C3%ADma_Wormtongue" title="Gríma Wormtongue">Gríma Wormtongue</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td colspan="2"><a href="http://en.wikipedia.org/wiki/Brad_Dourif" title="Brad Dourif">Brad Dourif</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Grishn%C3%A1kh" title="Grishnákh" class="mw-redirect">Grishnákh</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/w/index.php?title=Stephen_Ure&action=edit&redlink=1" class="new" title="Stephen Ure (page does not exist)">Stephen Ure</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Lurtz" title="Lurtz" class="mw-redirect">Lurtz</a></th> <td><a href="http://en.wikipedia.org/wiki/Lawrence_Makoare" title="Lawrence Makoare">Lawrence Makoare</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Mauhur" title="Mauhur" class="mw-redirect">Mauhur</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Robbie_Magasiva" title="Robbie Magasiva">Robbie Magasiva</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Mouth_of_Sauron" title="Mouth of Sauron">Mouth of Sauron</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Bruce_Spence" title="Bruce Spence">Bruce Spence</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/One_Ring" title="One Ring">The One Ring</a></th> <td><a href="http://en.wikipedia.org/wiki/Alan_Howard" title="Alan Howard">Alan Howard</a> (voice)</td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Alan_Howard" title="Alan Howard">Alan Howard</a> (voice)</td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Saruman" title="Saruman">Saruman</a></th> <td colspan="3"><a href="http://en.wikipedia.org/wiki/Christopher_Lee" title="Christopher Lee">Christopher Lee</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Sauron" title="Sauron">Sauron</a></th> <td><a href="http://en.wikipedia.org/wiki/Sala_Baker" title="Sala Baker">Sala Baker</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Sala_Baker" title="Sala Baker">Sala Baker</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Shagrat_%28Middle-earth%29" title="Shagrat (Middle-earth)" class="mw-redirect">Shagrat</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td>Peter Tait</td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/List_of_original_characters_in_the_Lord_of_the_Rings_film_trilogy#Sharku" title="List of original characters in the Lord of the Rings film trilogy" class="mw-redirect">Sharku</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Jed_Brophy" title="Jed Brophy">Jed Brophy</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Snaga" title="Snaga" class="mw-redirect">Snaga</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Jed_Brophy" title="Jed Brophy">Jed Brophy</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Ugl%C3%BAk" title="Uglúk" class="mw-redirect">Uglúk</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Nathaniel_Lees" title="Nathaniel Lees">Nathaniel Lees</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Witch-king_of_Angmar" title="Witch-king of Angmar">Witch-king of Angmar</a></th> <td><a href="http://en.wikipedia.org/wiki/Shane_Rangi" title="Shane Rangi">Shane Rangi</a><br /><a href="http://en.wikipedia.org/w/index.php?title=Brent_McIntyre&action=edit&redlink=1" class="new" title="Brent McIntyre (page does not exist)">Brent McIntyre</a><br /><a href="http://en.wikipedia.org/wiki/Andy_Serkis" title="Andy Serkis">Andy Serkis</a> (voice)</td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Lawrence_Makoare" title="Lawrence Makoare">Lawrence Makoare</a><br /><a href="http://en.wikipedia.org/wiki/Andy_Serkis" title="Andy Serkis">Andy Serkis</a> (voice)</td> </tr> <tr align="left"> <th colspan="4" style="background: none repeat scroll 0% 0% lightblue;"> <h3><span class="editsection"></span><span class="mw-headline" id="Historical_figures">Historical figures</span></h3> </th> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/D%C3%A9agol" title="Déagol">Déagol</a></th> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td><a href="http://en.wikipedia.org/wiki/Thomas_Robins" title="Thomas Robins">Thomas Robins</a></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Elendil" title="Elendil">Elendil</a></th> <td><a href="http://en.wikipedia.org/wiki/Peter_McKenzie_%28actor%29" title="Peter McKenzie (actor)">Peter McKenzie</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr align="left"> <th><a href="http://en.wikipedia.org/wiki/Gil-galad" title="Gil-galad">Gil-galad</a></th> <td><a href="http://en.wikipedia.org/wiki/Mark_Ferguson" title="Mark Ferguson">Mark Ferguson</a></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> <td style="background: none repeat scroll 0% 0% lightgrey;"><br /></td> </tr> <tr> <th style="text-align: left;"><a href="http://en.wikipedia.org/wiki/Isildur" title="Isildur">Isildur</a></th> <td style="text-align: left;"><a href="http://en.wikipedia.org/wiki/Harry_Sinclair" title="Harry Sinclair">Harry Sinclair</a></td> <td style="background: none repeat scroll 0% 0% lightgrey; text-align: left;"><br /></td> <td style="text-align: left;"><a href="http://en.wikipedia.org/wiki/Harry_Sinclair" title="Harry Sinclair">Harry Sinclair</a></td> </tr> </tbody></table> <h2><span class="editsection"></span><span class="mw-headline" id="Special_effects">Special effects</span></h2><p>The first film has around 540 effect shots, the second 799, and the third 1,488 (2,730 in total). The total increases to 3,420 with the extended editions. 260 visual effect artists began work on the trilogy, and the number doubled by <i>The Two Towers</i>. The crew, led by Jim Rygiel and Randy Cook, worked long hours, often overnight, to produce special effects within a short space of time. Jackson's active imagination was a driving force. For example, several major shots of Helm's Deep were produced within the last six weeks of post-production of <i>The Two Towers</i>, and the same happened again within the last six weeks on <i>The Return of the King</i>.</p></div>Muhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0tag:blogger.com,1999:blog-4345051363685870451.post-78063798418036896382012-01-05T23:22:00.000-08:002012-01-06T00:42:56.801-08:00How to Write Business Letter<div id="intro">There are many different reasons for writing a business letter. However, most business letters follow some general guidelines as described below. </div><div><b>Difficulty: </b>Average</div><div><b>Time Required: </b> 40 minutes </div><h3>Here's How:</h3><ol><li>Use block style - do not indent paragraphs.</li><li>Include address of the person you are writing to at the top of the letter, below your company address. </li><li>After the address, double space and include date</li><li>Double space (or as much as you need to put the body of the letter in the center) and include the salutation. Include Mr. for men or Ms for women, unless the recipient has a title such as Dr.</li><li>State a reference reason for your letter (i.e. 'With reference to our telephone conversation...'</li><li>Give the reason for writing (i.e. 'I am writing to you to confirm our order...')</li><li>Make any request you may have (i.e. 'I would be grateful if you could include a brochure...'</li><li>If there is to be further contact, refer to this contact (i.e. 'I look forward to meeting you at...') </li><li>Close the letter with a thank you (i.e. 'Thank you for your prompt help...') </li><li>Finish the letter with a salutation (i.e. 'Yours sincerely,') </li><li>Include 4 spaces and type your full name and title </li><li>sign the letter between the salutation and the typed name and title </li></ol><h3>Tips:</h3><ol><li>Keep the letter brief and to the point</li><li>Do not use shortened verb forms - write them out (i.e. 'don't instead of do not')</li><li>Always keep a copy of correspondence for future reference</li></ol><p style="text-align: center;">EXAMPLE <a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhh-CTI1x1ku0uf2r7TzNRPDyTW40Gtv_vnbmigYC-jOWPNCjXT3TiD1VyUSkLtGHiUaO4ICQRmjigajBp7a0PnVc51t-QJgdSbqM6B3Vb7WXyuxEdG02C-sxkfllb5opjCX2fXpFWAKKg/s1600/business-letter.gif"><img style="display:block; margin:0px auto 10px; text-align:center;cursor:pointer; cursor:hand;width: 320px; height: 281px;" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhh-CTI1x1ku0uf2r7TzNRPDyTW40Gtv_vnbmigYC-jOWPNCjXT3TiD1VyUSkLtGHiUaO4ICQRmjigajBp7a0PnVc51t-QJgdSbqM6B3Vb7WXyuxEdG02C-sxkfllb5opjCX2fXpFWAKKg/s320/business-letter.gif" alt="" id="BLOGGER_PHOTO_ID_5694436493371603090" border="0" /></a></p><p style="text-align: center;"><br /></p><center><table border="0" cellpadding="0" cellspacing="0" width="600"><tbody><tr><td style="vertical-align: top;"><br /></td><td valign="TOP"><br /></td> </tr> </tbody></table> </center>Muhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com1tag:blogger.com,1999:blog-4345051363685870451.post-53530747482360025402012-01-05T22:48:00.000-08:002012-01-05T23:22:16.826-08:00Your Strengths & Weakness<span id="result_box" class="long_text" lang="en"><span style="" title="Before going for a job interview, it is important to prepare for it.">Before going for a job interview, it is Important to prepare for it. </span><span style="" title="I know it sounds obvious but you have no idea how many job applicants do not do any homework in regards to the company they are applying for.">I know it sounds obvious but you have no idea how many jobs Applicants do not do any homework in regards to the company They are applying for. </span><span style="" title="Here are 7 job interview tips that you should take into consideration when you are applying for your next job.">Here are 7 job interview tips That you Should take into consideration Pls you are applying for your next job.<br /></span><span style="" title="Pertanyaan² yang BIASANYA ditanyakan saat wawancara kerja:"><br />a question asked during job interviews USUALLY:<br /><br /><br /><br /></span><span title="1.">1. </span><span style="" title="Ceritakan tentang diri anda">Tell us about yourself<br /><br /></span><span title="Ini pertanyaan yang PALING SERING ditanyakan saat interview dan paling sering dijawab dengan salah.">This question asked most frequently during the interview and most often answered incorrectly.<br /><br /></span><span title="Contoh jawaban yg tepat:">Examples of repartee:<br /><br /></span><span title="Saya orang yang optimis, pekerja keras, kreatif, dan punya inisiatif tinggi.">I am an optimistic person, hard worker, creative, and have a high initiative. </span><span style="" title="Saya mampu bekerja secara independent maupun bekerja sama dalam tim.">I am able to work independently or in cooperation within the team. </span><span style="" title="Kadangkala saya suka lupa akan hal-hal kecil, tapi untuk menutupi kelemahan saya itu, saya selalu menyiapkan notepad kecil untuk mencatat segala hal agar tidak lupa.">Sometimes I would like to forget little things, but to cover up my weaknesses, I always set up a small notepad to record everything in order not to forget. </span><span title="(ini kelemahan yg dikemas dengan baik).">(This weakness who packed well).<br /><br /><br /><br /></span><span title="2.">2. </span><span style="" title="Kenapa anda berhenti dari pekerjaan anda sebelumnya?">Why did you quit your day job before?<br /><br /></span><span title="Jawab dengan positif.">Answered positively. </span><span style="" title="Jangan jelek²in perusahaan loe sebelumnya, apalagi ngomongin kejelekan bos/supervisor/temen kantor.">Do not be ugly ² in loe company before, let alone talking about evil boss / supervisor / friend's office.<br /><br /></span><span style="" title="Jawab dengan senyuman dan katakan hal-hal positif seperti "mencari kesempatan yg lebih baik untuk berkembang", "mencari tantangan baru", dan sejenisnya.">Answer with a smile and say positive things like "looking for a better opportunity to grow", "seek new challenges", and the like.<br /><br /><br /><br /></span><span title="3.">3. </span><span title="Apa pengalaman anda di bidang ini?">What is your experience in this field?<br /><br /></span><span title="Kalau anda fresh graduate dan tidak punya pengalaman sama sekali, jawab aja dengan jujur.">If you are fresh graduate and have no experience at all, wrote an honest answer. </span><span title="Tapi tambahkan statement bahwa loe adlh orang yang senang mempelajari hal-hal/tantangan baru dan seorang fast learner.">But loe adlh add the statement that people who enjoy learning new hal-hal/tantangan and a fast learner. </span><span title="jawab aja senyambung mungkin.">wrote senyambung possible answer.<br /><br /></span><span title="Contoh: Anda ngelamar di bidang Marketing sementara pengalaman anda di Public Relation.">Example: You ngelamar in the area of Marketing while you experience in Public Relations. </span><span title="Jawab aja kalau anda punya basic excellent communication skill sewaktu bekerja sebagai PR yang pastinya merupakan modal untuk berinteraksi/communicate with customers.">Answer wrote excellent if you have basic communication skills while working as a PR, which certainly is the capital to interact / Communicate with customers.<br /><br /><br /><br /></span><span title="4.">4. </span><span title="Apa yang anda ketahui tentang perusahaan ini?">What do you know about this company?<br /><br /></span><span title="Pertanyaan ini juga lumayan sering ditanyakan.">This question is also quite frequently asked questions. </span><span title="That's why sangat penting untuk melakukan background checking pada perusahaan yang loe lamar.">That's why it is important to do background checking on a company that loe are applying. </span><span title="Bergerak dibidang apakah perusahaan itu?">Engaged in what company? </span><span title="Bagaimana pencapaiannya?">How is achievement? </span><span title="Range Marketnya?, dll.">Range marketnya?, Etc.. </span><span title="Informasi tsb bisa didapatkan via internet, company website/profile, atau lebih bagus lagi dari "orang dalam" yg bekerja di perusahaan tersebut.">Such information can be obtained via the Internet, company websites / profile, or even better than "insiders" who worked at the company.<br /><br /><br /><br /></span><span title="5.">5. </span><span title="Apakah anda sedang melamar di perusahaan lain selain disini?">Whether you are applying at companies other than here?<br /><br /></span><span title="Jawab dengan jujur, tapi jangan bahas kelamaan.">Answer honestly, but do not be discussed over time. </span><span title="Tetap fokus pada interview anda yg sekarang ini.">Stay focused on who you interview today.<br /><br /><br /><br /></span><span title="6.">6. </span><span title="Kenapa anda ingin bekerja di perusahaan ini?">Why do you want to work at this company?<br /><br /></span><span style="" title="Jawaban atas pertanyaan ini harus dipikirkan dulu dan juga harus didasari oleh "background checking" yg sudah anda lakukan tentang perusahaan tersebut.">The answer to this question should be considered first and should also be based on the "background checking" me-you do about that company. </span><span title="Ketulusan menjawab bakal terlihat jelas di pertanyaan ini.">Sincerity answer would be clearly visible on this question. </span><span title="So, jawablah dengan jawaban yangg berhubungan dengan tujuan karir anda jangka panjang.">So, answer with the answer yangg relate to your long-term career goals.<br /><br /><br /><br /></span><span title="7.">7. </span><span style="" title="Apakah anda ada kenalan di perusahaan ini?">Do you know anyone in this company?<br /><br /></span><span style="" title="Hati-hati dalam menjawab yangg satu ini.">Be careful in answering yangg this one. </span><span title="Pada beberapa perusahaan tertentu ada kebijakan-kebijakan mengenai hal ini.">In some companies there are specific policies on this matter. </span><span title="So, kalau memang lowongan tersebut anda ketahui dari teman/keluarga, tanyakan dulu kepada mereka mengenai kebijakan di perusahaannya.">So, if indeed these vacancies you know of friends / family, check with them about his company's policy.<br /><br /><br /><br /></span><span title="8.">8. </span><span title="Berapa gaji yang anda inginkan?">How much salary do you want?<br /><br /></span><span title="Hohohoho..">Hohohoho .. </span><span style="" title="this is the ultimate question of all!">this is the ultimate question of all! </span><span title="Intinya disini: jangan gila!">The point here: do not be mad! </span><span title="Jangan langsung jawab: "5juta pak, 10 juta pak!"">Do not immediately answered: "pack of 5 million, 10 million packs!"<br /><br /></span><span title="Dan juga jangan malah malu-malu..">And also do not even shy .. </span><span title="dan malah ngejawab "terserah bapak aja"..">and even helps to answer "the father wrote up" .. </span><span title="Too Funny">Too Funny<br /><br /><br /><br /></span><span title="Yang benar, sebelum menjawab tarik napas dulu sebentar (seolah-olah pura² mikir)..">The truth, take a deep breath before answering a while (as if pretending ² thinkers) .. </span><span title="lalu nanya balik:">then nanya back:<br /><br /></span><span style="" title=""Maaf Pak, kalau boleh saya tahu, berapa range salary yang diberikan perusahaan ini untuk posisi ini?"">"Excuse me sir, if I may know how a given salary range this company for this position?"<br /><br /></span><span style="" title=""Kalo untuk posisi ini biasanya sekitar 2 sampai 2,5 juta"">"If for this position is usually about 2 to 2.5 million"<br /><br /></span><span style="" title=""Oh begitu. Begini Pak, kalau dari pihak saya, tentunya saya mengharapkan adanya peningkatan pendapatan dari pekerjaan saya yang sebelumnya."">"I see. Well sir, if my party, I would expect an increase in income from my job before."<br /><br /></span><span title=""Memang sebelumnya salary anda berapa?"">"It's how your previous salary?"<br /><br /></span><span style="" title=""(disinilah anda pasang ekspektasi gaji anda. Misalnya gaji anda sebelumnya 2jt, pastinya mengharapkan yang lebih.. so mark-up sedikit jadi 2,5-3jt.. lebih boleh asal jangan gila)">"(This is where you plug your salary expectations. 2jt instance your previous salary, certainly expecting more .. so mark-ups to be 2.5-3jt little more .. just do not be mad)<br /><br /><br /><br /></span><span title="9.">9. </span><span title="Apakah anda bisa bekerja dalam tim?">Are you able to work in teams?<br /><br /></span><span title="Selalu jawab IYA.">Always answer YES. </span><span title="This will add your plus points.">Will this add your plus points. </span><span title="Jangan lupa siapin examples kalo ditanya buktinya apa.">Do not forget siapin examples if asked what the evidence.<br /><br /><br /><br /></span><span title="10.">10. </span><span title="Jika anda diterima bekerja disini, berapa lama anda berencana untuk bekerja?">If you are accepted to work here, how long you plan to work?<br /><br /></span><span title="Jangan pernah jawab spesifik: "Paling lama 2 tahun aja Pak, soalnya blablablabl".">Never specific answer: "Most Pak wrote 2 years old, because blablablabl". </span><span style="" title="something like this should work: "Saya ingin bekerja untuk waktu yang lama. Atau selama kedua belah pihak merasa bahwa saya melakukan pekerjaan saya dengan baik"">Should something like this work: "I want to work for a long time. Or as long as both sides feel that I am doing my job well"<br /><br /><br /><br /></span><span title="11.">11. </span><span title="Jelaskan mengapa perusahaan kami harus mempekerjakan anda?">Explain why the company should we hire you?<br /><br /></span><span title="Jawab dengan semangat, percaya diri, dan antusias.">Reply with enthusiasm, confidence, and enthusiasm. </span><span title="Ini kesempatan bagus untuk "ngejual" diri anda.">This is a wonderful opportunity to "ngejual" yourself. </span><span style="" title="Katakan hal-hal positif tentang diri anda yang dibutuhkan perusahaan/bisa menunjang kemajuan perusahaan.">Say positive things about yourself that the company needs / can support the progress of the company.<br /><br /></span><span title="Berikan beberapa alasan termasuk skill, pengalaman, dan interest anda">Give several reasons including skill, experience, and your interest<br /><br /><br /><br /></span><span title="12.">12. </span><span title="Apa saja kekuatan diri anda?">What are your strengths? </span><span style="" title="(Your greatest strength)">(Your greatest strength)<br /><br /></span><span title="Kuncinya tetap berpikiran positif.">The key remains positive. </span><span style="" title="Contoh-contoh yang bisa dipakai: kemampuan anda untuk me-manage skala prioritas, kemampuan anda untuk fokus pada pekerjaan, kemampuan anda untuk bekerja di bawah tekanan, kemampuan anda dalam pemecahan masalah, kemampuan anda dalam memimpin team, dll.">The examples that can be used: the ability to manage your priorities, your ability to focus on the job, your ability to work under pressure, your ability in problem solving, your ability to lead the team, etc..<br /><br /><br /><br /></span><span title="13.">13. </span><span style="" title="Apakah anda bersedia untuk bekerja lembur/bermalam/di akhir minggu?">Are you willing to work overtime / night / weekend?<br /><br /></span><span title="Jawab sejujur-jujurnya">Answer truthfully<br /><br /><br /><br /></span><span title="14.">14. </span><span title="Apakah anda ada pertanyaan?">Do you have any questions?<br /><br /></span><span style="" title="Selalu siapkan satu dua pertanyaan untuk menimbulkan kesan positif.">Always prepare one or two questions to create a positive impression. </span><span style="" title="Misalnya seperti seputar job description, ruang lingkup kerja, market perusahaan, dll.">For example like about the job description, scope of work, market the company, etc..<br /><br /><br /><br /></span><span title="Good Luck">Good Luck</span></span>Muhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0tag:blogger.com,1999:blog-4345051363685870451.post-56705195066429648952012-01-05T22:24:00.000-08:002012-01-05T22:46:41.424-08:00Complaint Letter & GrammerMuhammad Fauzan<br />Depok, West Java<br />3 January, 2012<br /><br />Mr. Faridh Thalib, President<br />Faridh Coorporation<br />Kuningan, Jakarta<br /><br />Dear Mr. Faridh:<br /><br />On October 15, 2011, in response to a special television offer, I ordered a Tressel Toaster from your company. The product arrived in the mail, apparently undamaged, on October 22. However, when I tried to operate the Tressel Toaster that same evening, I was distressed to find that it did not fulfill your claim to provide "fast, safe, professional hair-styling." Instead, it severely damaged my hair.<br /><br />After following the instructions to "set up the toaster away from other appliances on a dry counter" in my bathroom, I inserted the steel comb and waited 60 seconds. Then I removed the comb from the toaster and, following the instructions for a "Venusian Curl," ran the hot comb through my hair. After just a few seconds, however, I smelled burning hair, and so I immediately placed the comb back into the toaster. When I did this, sparks flew from the outlet. I reached to unplug the toaster, but I was too late: a fuse had already blown out. A few minutes later, after replacing the fuse, I looked in the mirror and saw that my hair had been scorched in several spots.<br /><br />I am returning the Tressel Toaster (along with the unopened bottle of Un-Do Shampoo), and I expect a full refund of $39.95, plus $5.90 for shipping costs. In addition, I am enclosing a receipt for the wig I purchased and will have to wear until the damaged hair grows out. Please send me a check for $303.67 to cover the refund for the Tressel Toaster and the cost of the wig.<br /><br /><br />Sincerely,<br /><br />Muhammad FauzanMuhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0tag:blogger.com,1999:blog-4345051363685870451.post-24421165600311860942011-11-23T00:31:00.000-08:002012-01-06T00:00:06.713-08:00"What will you be in the next five years"<div style="text-align: justify;"><span id="result_box" class="long_text" lang="en"><span style="" title="Setelah selesai kuliah aku masih ingin untuk melajutkan kuliah ku dan disamping itu aku juga ingin untuk menikah, tapi pa mungkin itu bisa, kalau itu tercapai dalam 5 tahun ke depan mungkin itu sudah bisa buat aku bahagia, 5 tahun yang akan datang jika aku sudah menjadi">After finishing college I still wanted to melajutkan lecture me and besides that I also want to get married, but pa might it be, if it is achieved within the next 5 years maybe it can make me happy, five years from now if I've become </span><span style="" title="orang yang sukses berdagang, aku akan buat cabang-cabang perusahaan kecil yang dapat menjadi sayap-sayap dari perusahaan yang aku bimbing, memang sulit untuk menjadi orang yang sukses, tapi itu harus aku kerjakan demi hidup ku yang serba susah ini.">people who are successful trade, I will make the branches of small companies that could become the wings of the company that I coached, it's hard to be successful, but it must I do for my life all this trouble.</span></span><br /><br /><span id="result_box" class="long_text" lang="en"><span style="" title="orang yang sukses berdagang, aku akan buat cabang-cabang perusahaan kecil yang dapat menjadi sayap-sayap dari perusahaan yang aku bimbing, memang sulit untuk menjadi orang yang sukses, tapi itu harus aku kerjakan demi hidup ku yang serba susah ini."></span><span style="" title="Kembali ke topik 5 tahun yang akan datang, ini hanya impian, aku harus bisa hidup dengan berdagang demi melanjutkan impian ku, aku sudah bisa untuk membuat toko sendiri tanpa bantuan orang tua, memang masih buram tentang impian 5 tahun yang akan datang tapi itu aku harus">Back to the topic five years to come, this is just a dream, I should be able to live with the trade in order to continue my dream, I was able to create their own store without the help of parents, the dream is still opaque about 5 years to come but that I should </span><span title="bisa.">can. </span><span style="" title="Dengan sistem jual beli komputer dan servise komputer aku harus bisa sukses dalam bidang ini.">With the sale of computer systems and computer servise I have to be successful in this field.</span></span><br /><br /><span id="result_box" class="long_text" lang="en"><span style="" title="Dengan sistem jual beli komputer dan servise komputer aku harus bisa sukses dalam bidang ini."></span><span style="" title="Dengan sudah berdirinya toko komputer dan dapat menjadi penopang hidupku, aku akan mendirikan warnet dan game online yang sangat di gemari anak muda jaman sekarang.">With the establishment of a computer store and was able to support life, I would set up Internet cafes and online game in which very young people today enjoy doing. </span><span style="" title="Dengan sedikit keyakinan aku harus bisa capai keinginan itu demi masa depan yang baik.">With a little faith I should be able to accomplish that desire for a better future.</span></span><br /><br /><span id="result_box" class="long_text" lang="en"><span style="" title="Dengan sedikit keyakinan aku harus bisa capai keinginan itu demi masa depan yang baik."></span><span style="" title="Untuk memegang toko komputer memang sangat sulit, kita harus waspada terhadap uang, menjaga kepercayaan orang, mengusahakan pelayanan yang terbaik demi menjaga nama baik toko.">To hold the computer store was very difficult, we must guard against money, maintaining the trust of people, getting the best service in order to maintain the good name of the store. </span><span title="Lebih sulit akan menjaga kepercayaan konsumen kepada kita.">More difficult to maintain consumer confidence in us.</span></span><br /><br /><span id="result_box" class="long_text" lang="en"><span title="Lebih sulit akan menjaga kepercayaan konsumen kepada kita."></span><span style="" title="Jika aku sudah dapat uang yang lumayan cukup aku ingin membeli sepada motor guna untuk pekerjaan ku sehari-hari.">If I can already quite enough money that I want to buy a motorcycle sepada order for my job everyday. </span><span style="" title="Karena sepeda ku yang sekarang sebenarnya sudah tak layak pakai lagi.">Due to my current bike is already unfit for use again. </span><span style="" title="Dan membuat rumah sendiri dengan uang yang ku dapat dari pekerjaan ku ini.">And create their own home with the money I get from my job is.</span></span><br /><br /><span id="result_box" class="long_text" lang="en"><span style="" title="Dan membuat rumah sendiri dengan uang yang ku dapat dari pekerjaan ku ini."></span><span style="" title="Itu adalah impian yang enak siapa pun juga pasti mau, tapi untuk menempuh itu semua tidak semudah yang kita impikan.">It was a nice dream anyone would, but to take it all is not as easy as we dream. </span><span style="" title="Pasti ada halangan dan rintangan yang kita harus hadapi itu pasti ada karena dunia ini berputar begitu juga kehidupan kita selalu berubah tidak menentu.">There must be obstacles and hurdles that we must face it must exist because the world is spinning so too our lives are always changing uncertain.</span></span><br /><br /><span id="result_box" class="long_text" lang="en"><span style="" title="Pasti ada halangan dan rintangan yang kita harus hadapi itu pasti ada karena dunia ini berputar begitu juga kehidupan kita selalu berubah tidak menentu."></span><span style="" title="Kesusahan selalu ada tidak bisa untuk kita lepaskan begitu saja, impian memang impian bukan kenyataan tapi impian bisa kita dapatkan dengan kesungguhan dari diri kita sendiri.dengan bekerja keras dan brusaha tanpa pantang menyerah pasti kita bisa.">Distress can not always there for us to let go just like that, a dream is a dream not reality but a dream we can get to the seriousness of ourselves sendiri.dengan brusaha without working hard and never give up for sure we can.</span></span></div>Muhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0tag:blogger.com,1999:blog-4345051363685870451.post-45399060184702902762011-11-23T00:26:00.000-08:002011-11-23T00:30:21.298-08:00surat lamaran kerja dalam bahasa inggris<div style="text-align: right;">November 22, 2011<br /></div><br /><br />Mr. Ardi Sanjaya<br />Vice-President of Sales<br />PT. Fastron Electronic<br />Jl. M.H. Thamrin kav. 12 A<br />Jakarta Selatan<br /><br />I am applying for a position as Sales Manager with your company, as I feel my background in developing a sales department will be of interest to you.<br /><br />As my resume indicates, I joined PT. Bakrie Electric., in the capacity of trainee and moved up the ladder to my current position of Sales Manager. In each year of my employment, I was successful in opening news account, penetrating existing ones, and reopening closed business. As a result, I was responsible for sales increase of 20% to 25%.<br /><br />As sales manager I was involved in recruiting, training, and supervising a staff of 120 salespeople and was responsible foe sales worldwide.<br /><br />I am looking forward to meeting you. When can we set up an appointment for an interview? I may be reached at 021-91022297.<br /><br />Sincerely,<br /><br />Muhammad FauzanMuhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0tag:blogger.com,1999:blog-4345051363685870451.post-1500585367587228642011-10-10T03:36:00.000-07:002011-10-10T03:38:15.131-07:00Menyusun SuratCAMBRIDGE ELECTRONIC CORPORATION<br /> 231 Blackmore street<br /> NewYork , NY 200LL, LA <br /><br /><br />Ref : DT/NN?12 <br /><br />7 th May 1993<br /><br />Messrs Johnson Smith & Carlson Ltd<br />Cambridge Electronic Corporation<br />16 fifth Avenue Street<br />Los Angeles , LA <br /><br />Dear Sirs<br />We have to remind you that your account for television ordered on 12 february has not yet been paid. Discount cannot be allowed.<br />You will remember that we went to some troble to meet your delivery date, and we are sure that you would not wish to inconvenience us by delayit your payment.<br />A copy of the statement is enclosed , and we shall be glad to receive your cheque by return.<br /> <br /><br /> Your faithfully<br /><br /> Cambrideg electronic Corporation<br /><br /><br /><br /> Jonathan R SmithMuhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0tag:blogger.com,1999:blog-4345051363685870451.post-73120396866747789682011-10-10T03:34:00.000-07:002011-10-10T03:35:59.755-07:00example of full block style letter1385 Laurier Avenue<br />Ottawa, Ontario K2G 1V<br /> <br /> <br />March 20, 20xx <br /> <br /> <br />James Moore, Human Resources Manager <br />Global Market Incorporated <br />45 Spadina Avenue <br />Toronto, Ontario M5W 1E5<br /> <br />Dear Mr. Moore:<br /><br />This is semi-block letter format.<br /><br />It too begins with the sender’s address, the date, the receiver’s name and address, and then the opening salutation. The difference between full-block and semi block is easy:<br /><br /> In full-block format, nothing is indented.<br /> In semi-block format, the sender’s address, date and closing salutation are indented.<br /> In semi-block format, it is also permissible to indent the paragraphs, but it is not necessary to do so.<br /><br />If you have questions about this format, please email me.<br />Sincerely, <br />Muhammad Fauzan<br />Muhammad Fauzan <br /> <br /><br /><br /><br /><br /><br /><br /><br />bibliography : http://elearning.algonquincollege.com/coursemat/strachn/letter_formats/letterformat1.htmMuhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0tag:blogger.com,1999:blog-4345051363685870451.post-55180728760143790722011-10-10T03:29:00.000-07:002011-10-10T03:34:17.121-07:00Here are ways how you can do to shrink the stomachHere are ways how you can do to shrink the stomach: • Eating breakfast is about 200 calories. This can consist of a piece of toast with a little butter, one scrambled egg and half a glass of milk. • Eat healthy snacks, fresh fruits, fibers that exist in fresh fruits will make you satisfied longer. • Lunch is about 400 calories. approximately half the size of average portion of the Indonesian people during lunch. • If after 2 or 3 hours from lunch that you're feeling hungry again so please take your fresh fruit as your snack. • Just 400 calories dinner only. This is usually the most difficult foods to keep 400 calories, especially if you're eating out, soon you're trying to remember how to shrink belly fat. It should if you have tried diets that are taught over since in the morning then you will not easily hungry in the afternoon.If you have difficulty with adjusting the diet would not hurt you milirik nutritional diet program that simplifies the pattern of food, especially for those with limited time.In addition to dietary adjustments, shrink belly fat and also assisted with sports, sports that are doing cardio. Cardio exercise (also called cardiovascular exercise) is very effective in burning calories, because when your body burns calories is automatically fat in the body fat will decrease, one of the favorite places is accumulating fat in the abdomen.do the exercise as a way to shrink your belly fat. When you have set your diet, then you have to do exercise, there's still that need your attention to shrink belly fat. Consider your habits without realizing that contributed to the emergence of belly fat, so consider these habits as a way to shrink the stomach.Muhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0tag:blogger.com,1999:blog-4345051363685870451.post-41207072004380310802011-05-18T11:58:00.000-07:002011-05-18T12:07:24.549-07:00Laporan kegiatan seminar IP/TVNama kegiatan : Seminar IP-TV "Turn on your television with networking"<br />Pembicara : Erry Rahmawan (senior service creation PT.Telkom)<br />Waktu dan Tempat : Sabtu 9 April 2011, Auditorium Universitas Gunadarma Kampus J 167<br /><br />I P/TV adalah suatu pengembangan baru dalam software komunikasi client-server yang mem-broadcast video yang berkualitas tinggi (setara real time full motion video secara simultan ) ke user window melalui jaringan data yang ada sekarang. Beberapa feature yang dimiliki oleh IP/TV ini adalah :<br /> · IP/TV dapat menyiarkan secara live atau prerecorded digital video program-program pendidikan, komersial,dsb, serta dapat melakukan capturing dan transmisi program dari berbagai source.<br />· IP/TV dapat melakukan scheduling /penjadwalan program sesuai dengan kebutuhan antara pemilik informasi dan audience. Viewer dapat memilih program dari suatu listing yang akan dilihatnya.<br />· IP/TV dapat memberikan layanan yang ekonomis namun dengan tidak mengorbankan kualitas layanan. Ini karena teknologi bandwidth transmisi yang efisien, yaitu IP multicasting.<br />· IP/TV mendukung format standard MPEG (Motion Picturre Experts Group) untuk memberikan high quality, full motion video. Feature ini merupakan tambahan terhadap standard CODEC (compression/decompression) untuk menjamin kualitas gambar yang optimal sesuai dengan spesifikasi aplikasi dan bandwidth yang tersedia.<br />· Bila dibandingkan dengan metode tutorial yang konvensional, IP/TV lebih efisien karena tidak perlu membayar instruktur, biaya print materi relatif lebih sedikit, tidak perlu menyewa ruang seminar khusus (karena IP/TV dapat diakses oleh setiap meja selama terkoneksi dalam satu LAN/WAN).<br /><br />Berbagai macam kelebihan yang ditawarkan IPTV ketimbang TV kabel atau satelit, salah satunya:<br /><br /> kemampuan untuk merekam atau menghentikan gambar (pause) saat tayangan tersebut disiarkan. <br /> bisa diakses secara mobile tanpa harus berada di dalam rumah. Sebab, terdapat alat yang disebut set of box, yang berfungsi seperti decoder, sehingga melalui internet, tayangan itu dapat dinikmati dari jarak jauh. selain itu masih banyak kelebihannya yang dapat menyaingi tv kabel berbayar.<br /><br />Ini merupakan langkah Telkom untuk merevitalisasi jaringan kabel yang ada di negara kita. IPTV tidak hanya bisa menampilkan siaran televisi pada umumnya, tetapi bisa juga untuk radio, audio, video, game, teks, bahkan sampai data kepada pelanggan melalui sebuah protokol internet. Hal-hal baru yang ditawarkan oleh IPTV adalah:<br />• Broadcast televisi dan video di atas akses Internet<br />• Content on demand, yang meliputi video, TV, musik<br />• Interaksi multimedia dengan kecepatan true broadband, yang meliputi layanan game, shopping, advertising, dan lain-lain<br />• Kualitas layanan (quality of service) dan kualitas pengalaman (quality of experience) bagi pelanggan yang terus terpelihara.<br /><br />Dengan adanya IPTV ini, diharapkan perkembangan teknologi informasi di Indonesia semakin maju. Di seluruh dunia, penyedia layanan IPTV yang paling laris adalah PCCW (Hong Kong) yang mempunyai pelanggan lebih dari 850.000 orang. Selain itu, di Perancis juga ada France Telecom yang mempunyai sebanyak 800.000 pelanggan. Jumlah pelanggan TV berbayar di Indonesia sangatlah rendah. Hanya sekitar dua persen dari keseluruhan.<br /><br />Karena itulah Telkom menilai potensi IP/TV ini cukup besar, walaupun segmen yang coba dibidik mungkin lebih ditujukan ke segmen kelas atas. Bagi Telkom, IPTV adalah langkah pertama dalam penggelaran aplikasi multimedia dengan interaktivitas tinggi di atas jaringan true broadband. IPTV menawarkan pengalaman digital yang lebih interaktif, mudah, nyaman, dan lengkap bagi pelanggan Telkom Group.Muhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0tag:blogger.com,1999:blog-4345051363685870451.post-40857594263473221782011-05-18T11:44:00.000-07:002011-05-18T11:58:50.769-07:00Resensi Novel<a onblur="try {parent.deselectBloggerImageGracefully();} catch(e) {}" href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEi20s7se0VCrNUH4oiD9xo_4-jzfVb5LgcTjaT8K4G30s7o1Ak802JDUsrXOuSR2hs0tDvC6gSCIV9nmWR67lB7WnmTTtbivJz8ThYkQIRGQ1WqLb16bRu1sQm_JMCic7btOQ5ydSR7t5U/s1600/victory.jpg"><img style="float:left; margin:0 10px 10px 0;cursor:pointer; cursor:hand;width: 215px; height: 320px;" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEi20s7se0VCrNUH4oiD9xo_4-jzfVb5LgcTjaT8K4G30s7o1Ak802JDUsrXOuSR2hs0tDvC6gSCIV9nmWR67lB7WnmTTtbivJz8ThYkQIRGQ1WqLb16bRu1sQm_JMCic7btOQ5ydSR7t5U/s320/victory.jpg" border="0" alt=""id="BLOGGER_PHOTO_ID_5608131841246961762" /></a><br /><br /><br /><br />• Judul : Victory<br />• Pengarang : Luna Torashyngu<br />• Penerbit : Gramedia Pustaka Utama<br />• Tahun Terbit : 2006<br />• Halaman : 296 halaman<br /><br />- Sinopsis Novel<br />Novel ini menceritakan tentang arti dari sebuah cinta sejati. Oti dan Raka adalah dua saudara tiri yang berbeda karakter dan sifat. Oti adalah seorang cewek tomboy dan cuek, tetapi dia mempunyai kelebihan yaitu keberanian dalam membela teman-temannya. Sedangkan Raka adalah seorang cowok dengan sikap tenang dan dewasa.<br />Saat membela temannya, Oti menerima tantangan dari kakak kelasnyauntuk mengikuti pemilihan putri SMA, di SMAnya. Untuk memenangkan pertandingan, Oti harus menjadi gadis yang feminim. Dia diharuskan memakai make-up dan gaun yang tidak pernah dipakainya. Namu8n Oti menerima tantangan kakak kelasnya itu. Dia mulai berubah dirinya agar dia bisa memenangkan kontes itu, walaupun sempat putus asa.<br />Raka yang dulunya benci mulai perlahan memperhatikan perubahan pada diri Oti dan diam-diam timbul perasaan yang aneh pada dirinya. Raka mulai menjadi lebih perhatiandan lembut. Perlahan tapi pasti, mereka mulai merasakancinta. Halangan muncul dari orang tua mereka yang tidak setuju dengan hubungan mereka. Oti dan Raka akhirnya berpisah karena mereka mengetahui bahwa mereka sebenarnya adalah saudara sedarah.<br />Dua tahun berlalu, Oti yang kuliah di London datang ke Bandung untuk menemui Raka. Oti dan Raka sama-sama belum bisa melupakan cinta yang pernah terjadi diantara mereka. Akhirnya karena sebuah kecelakaan menyebabkan kehidupan mereka berubah. Oti menjadi lumpuh dan mereka mengetahui bahwa sebenarnya mereka bukan saudara sedarah. Namun kelumpuhan Oti tidak memupuskan perasaan Raka padanya, melainkan menguatkan cinta mereka.<br /><br />- Tokoh<br />* Victory/Oti<br />* Raka<br />* Ai<br />* Ticka<br />* Laras<br /><br />- Penokohan<br />* Victory/Oti: Tomboy, cuek, selalu membela temannya, baik, dan setia.<br />* Raka : Tenang, dewasa, baik, dan setia.<br />* Ai : Baik hati dan cerewet<br />* Ticka : Cerewet tetapi supel.<br />* Laras : Polos, pemalu, dan selalu bicara apa adanya.<br /><br />- Alur<br />Novel ini menggunakan alur maju dan alur mundur. yaitu hubungan oti dengan raka yang awalnya benci menjadi suka satu sama lain dan hubungan mereka tidak direstui oleh kedua orang tua mereka dan mereka pun brjuang untuk mempertahankan hubungan itu sehingga mereka bisa bersama kembali. <br /><br />- Kelebihan<br />Novel ini mempunyai kelebihan pada covernya yang terlihat menarik sehingga dapat menarik pembaca untuk membaca isinya. selain itu juga kelebihannya terletak pada bahasa yang dipakai sangat segar, dengan gaya bahasa anak muda jaman sekarang namun mudah untuk dipahami. Isinya pun cocok untuk dibaca oleh semua kalangan, mulai dari remaja hingga orang tua sekalipun.<br /><br />- Kekurangan<br />Novel ini selain memiliki kelebihan juga memiliki kekurangan yaitu terdapat pada kertas yang digunakan, masih menggunakan kertas buram.Muhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0tag:blogger.com,1999:blog-4345051363685870451.post-5185966264614452492011-05-18T11:42:00.000-07:002011-05-18T11:44:13.605-07:00Artikel: PELAJARAN BAHASA INDONESIA DI SEKOLAH , METAMORFOSIS ULAT MENJADI KEPOMPONGPendidikan Bahasa Indonesia merupakan salah satu aspek penting yang perlu diajarkan kepada para siswa di sekolah. Tak heran apabila mata pelajaran ini kemudian diberikan sejak masih di bangku SD hingga lulus SMA. Dari situ diharapkan siswa mampu menguasai, memahami dan dapat mengimplementasikan keterampilan berbahasa. Seperti membaca, menyimak, menulis, dan berbicara. Kemudian pada saat SMP dan SMA siswa juga mulai dikenalkan pada dunia kesastraan. Dimana dititikberatkan pada tata bahasa, ilmu bahasa, dan berbagai apresiasi sastra. Logikanya, telah 12 tahun mereka merasakan kegiatan belajar mengajar (KBM) di bangku sekolah. Selama itu pula mata pelajaran Bahasa Indonesia tidak pernah absen menemani mereka.<br /><br />Tetapi, luar biasanya, kualitas berbahasa Indonesia para siswa yang telah lulus SMA masih saja jauh dari apa yang dicita-citakan sebelumnya. Yaitu untuk dapat berkomunikasi dengan Bahasa Indonesia yang baik dan benar.Hal ini masih terlihat dampaknya pada saat mereka mulai mengenyam pendidikan di perguruan tinggi. Kesalahan-kesalahan dalam berbahasa Indonesia baik secara lisan apalagi tulisan yang klise masih saja terlihat. Seolah-olah fungsi dari pembelajaran Bahasa Indonesia di sekolah tidak terlihat maksimal. Saya penah membaca artikel dosen saya yang dimuat oleh harian Pikiran Rakyat. Dimana dalam artikel tersebut dibeberkan banyak sekali kesalahan-kesalahan berbahasa Indonesia yang dilakukan oleh para mahasiswa saat penyusunan skripsi. Hal ini tidak relevan, mengingat sebagai mahasiswa yang notabenenya sudah mengenyam pendidikan sejak setingkat SD hingga SMU, masih salah dalam menggunakan Bahasa Indonesia.<br /><br />Lalu, apakah ada kesalahan dengan pola pengajaran Bahasa Indonesia di sekolah? Selama ini pengajaran Bahasa Indonesia di sekolah cenderung konvesional, bersifat hafalan, penuh jejalan teori-teori linguistik yang rumit. Serta tidak ramah terhadap upaya mengembangkan kemampuan berbahasa siswa. Hal ini khususnya dalam kemampuan membaca dan menulis. Pola semacam itu hanya membuat siswa merasa jenuh untuk belajar bahasa Indonesia. Pada umumnya para siswa menempatkan mata pelajaran bahasa pada urutan buncit dalam pilihan para siswa. Yaitu setelah pelajaran-pelajaran eksakta dan beberapa ilmu sosial lain. Jarang siswa yang menempatkan pelajaran ini sebagai favorit. Hal ini semakin terlihat dengan rendahnya minat siswa untuk mempelajarinya dibandingkan dengan mata pelajaran lain. Saya menyoroti masalah ini setelah melihat adanya metode pengajaran bahasa yang telah gagal mengembangkan keterampilan dan kreativitas para siswa dalam berbahasa. Hal ini disebabkan karena pengajarannya yang bersifat formal akademis, dan bukan untuk melatih kebiasaan berbahasa para siswa itu sendiri.<br /><br />Pelajaran Bahasa Indonesia mulai dikenalkan di tingkat sekolah sejak kelas 1 SD. Seperti ulat yang hendak bermetamorfosis menjadi kupu-kupu. Mereka memulai dari nol. Pada masa tersebut materi pelajaran Bahasa Indonesia hanya mencakup membaca, menulis sambung serta membuat karangan singkat. Baik berupa karangan bebas hingga mengarang dengan ilustrasi gambar. Sampai ke tingkat-tingkat selanjutnya pola yang digunakan juga praktis tidak mengalami perubahan yang signifikan. Pengajaran Bahasa Indonesia yang monoton telah membuat para siswanya mulai merasakan gejala kejenuhan akan belajar Bahasa Indonesia. Hal tersebut diperparah dengan adanya buku paket yang menjadi buku wajib. Sementara isi dari materinya terlalu luas dan juga cenderung bersifat hafalan yang membosankan. Inilah yang kemudian akan memupuk sifat menganggap remeh pelajaran Bahasa Indonesia karena materi yang diajarkan hanya itu-itu saja.<br /><br />Saya mengambil contoh dari data tes yang dilakukan di beberapa SD di Indonesia tentang gambaran dari hasil pembelajaran Bahasa Indonesia di tingkat SD. Tes yang digunakan adalah tes yang dikembangkan oleh dua Proyek Bank Dunia, yaitu PEQIP dan Proyek Pendidikan Dasar (Basic Education Projects) dan juga digunakan dalam program MBS dari Unesco dan Unicef. Dari tes menulis dinilai berdasarkan lima unsur: tulisan tangan (menulis rapi), ejaan, tanda baca, panjangnya karangan, dan kualitas bahasa yang digunakan. Bobot dalam semua skor adalah tulisan (15%), ejaan (15%), tanda baca (15%), panjang tulisan (20%), dan kualitas tulisan (35%).<br /><br />Hanya 19% anak bisa menulis dengan tulisan tegak bersambung dan rapih. Sedangkan 64% bisa membaca rapih tetapi tidak bersambung. Perbedaan antarsekolah sangat mencolok. Pada beberapa sekolah kebanyakan anak menulis dengan rapih, sementara yang lain sedikit atau sama sekali tidak ada. Ini hampir bisa dipastikan guru-guru pada sekolah-sekolah yang pertama yang bagus tulisannya secara reguler mengajarkan menulis rapi. Sementara sekolah-sekolah yang belakangan tidak.<br /><br />Hanya 16% anak menulis tanpa kesalahan ejaan dan 52% anak bisa menulis dengan ejaan yang baik (sebagian besar kata dieja dengan benar), sementara lebih dari 30% dari kasus menulis dengan kesalahan ejaan yang parah atau sangat parah. 58 % anak memberi tanda baca pada tulisan mereka dengan baik (dikategorikan bagus atau sempurna), sementara itu lebih dari 35% kasus anak yang menulis dengan kesalahan tanda baca dan dikategorikan kurang atau sangat kurang.<br /><br />58% siswa menulis lebih dari setengah halaman dan 44% siswa isi tulisannya yang dinilai baik, yaitu gagasannya diungkapkan secara jelas dengan urutan yang logis. Pada umumnya anak kurang dapat mengelola gagasannya secara sistematis<br /><br />Alasan mengapa begitu banyak anak yang mengalami kesulitan dalam menulis karangan dengan kualitas dan panjang yang memuaskan serta dengan menggunakan ejaan dan tanda baca yang memadai ialah anak-anak di banyak kelas jarang menulis dengan kata- kata mereka sendiri. Mereka lebih sering menyalin dari papan tulis atau buku pelajaran. Dari data tersebut menggambarkan hasil dari KBM Bahasa Indonesia di SD masih belum maksimal. Walaupun jam pelajaran Bahasa Indonesia sendiri memiliki porsi yang cukup banyak.<br /><br />Setelah lulus SD dan melanjutkan ke SMP, ternyata proses pengajaran Bahasa Indonesia masih tidak kunjung menunjukan perubahan yang berarti. Ulat pun masih menjadi kepompong. Kelemahan proses KBM yang mulai muncul di SD ternyata masih dijumpai di SMP. Bahkan ironisnya, belajar menulis sambung yang mati-matian diajarkan dahulu ternyata hanya sebatas sampai SD saja. Pada saat SMP penggunaan huruf sambung seakan-akan haram hukumnya, karena banyak guru dari berbagai mata pelajaran yang mengharuskan muridnya untuk selalu menggunakan huruf cetak. Lalu apa gunanya mereka belajar menulis sambung?<br /><br />Seharusnya pada masa ini siswa sudah mulai diperkenalkan dengan dunia menulis (mengarang) yang lebih hidup dan bervariatif. Dimana seharusnya siswa telah dilatih untuk menunjukkan bakat dan kemampuannya dalam menulis: esai, cerita pendek, puisi, artikel, dan sebagainya. Namun, selama ini hal itu dibiarkan mati karena pengajaran Bahasa Indonesia yang tidak berpihak pada pengembangan bakat menulis mereka. Pengajaran Bahasa Indonesia lebih bersifat formal dan beracuan untuk mengejar materi dari buku paket. Padahal, keberhasilan kegiatan menulis ini pasti akan diikuti dengan tumbuhnya minat baca yang tinggi di kalangan siswa.<br /><br />Beranjak ke tingkat SMA ternyata proses pembelajaran Bahasa Indonesiapun masih setali tiga uang. Sang ulat kini hanya menjadi kepompong besar. Kecuali dengan ditambahnya bobot sastra dalam pelajaran bahasa indonesia, materi yang diajarkan juga tidak jauh-jauh dari imbuhan, masalah ejaan, subjek-predikat, gaya bahasa, kohesi dan koherensi paragraf, peribahasa, serta pola kalimat yang sudah pernah diterima di tingkat pendidikan sebelumnya. Perasaan akan pelajaran Bahasa Indonesia yang dirasakan siswa begitu monoton, kurang hidup, dan cenderung jatuh pada pola-pola hafalan masih terasa dalam proses KBM.<br /><br />Tidak adanya antusiasme yang tinggi, telah membuat pelajaran ini menjadi pelajaran yang kalah penting dibanding dengan pelajaran lain. Minat siswa baik yang menyangkut minat baca, maupun minat untuk mengikuti pelajaran Bahasa Indonesia semakin tampak menurun. Padahal, bila kebiasaan menulis sukses diterapkan sejak SMP maka seharusnya saat SMA siswa telah dapat mengungkapkan gagasan dan ''unek-unek'' mereka secara kreatif. Baik dalam bentuk deskripsi, narasi, maupun eksposisi yang diperlihatkan melalui pemuatan tulisan mereka berupa Surat Pembaca di berbagai surat kabar. Dengan demikian apresiasi dari pembelajaran Bahasa Indonesia menjadi jelas tampak prakteknya dalam kehidupasn sehari-hari. Bila diberikan bobot yang besar pada penguasaan praktek membaca, menulis, dan apresiasi sastra dapat membuat para siswa mempunyai kemampuan menulis jauh lebih baik Hal ini sangat berguna sekali dalam melatih memanfaatkan kesempatan dan kebebasan mereka untuk mengungkapkan apa saja secara tertulis, tanpa beban dan tanpa perasaan takut salah.<br /><br />Setelah melihat pada ilustrasi dari pola pengajaran tersebut saya melihat adanya kelemahan - kelemahan dalam pengajaran Bahasa Indonesia di sekolah. KBM belum sepenuhnya menekankan pada kemampuan berbahasa, namun lebih pada penguasaan materi. Hal ini terlihat dari porsi materi yang tercantum dalam buku paket lebih banyak diberikan dan diutamakan oleh para guru bahasa Indonesia. Sedangkan pelatihan berbahasa yang sifatnya lisan ataupun praktek hanya memiliki porsi yang jauh lebih sedikit. Padahal kemampuan berbahasa tidak didasarkan atas penguasaan materi bahasa saja, tetapi juga perlu latihan dalam praktek kehidupan sehari-hari.<br /><br />Selain itu, pandangan atau persepsi sebagian guru, keberhasilan siswa lebih banyak dilihat dari nilai yang diraih atas tes, ulangan umum bersama (UUB) terlebih lagi pada Ujian Akhir Nasional (UAN). Nilai itu sering dijadikan barometer keberhasilan pengajaran. Perolehan nilai yang baik sering menjadi obsesi guru karena hal itu dipandang dapat meningkatkan prestise sekolah dan guru. Untuk itu, tidak mengherankan jika dalam KBM masih dijumpai guru memberikan latihan pembahasan soal dalam menghadapi UUB dan UAN. Apalagi dalam UUB dan UAN pada pelajaran bahasa Indonesia selalu berpola pada pilihan ganda. Dimana bagi sebagian besar guru menjadi salah satu orientasi di dalam proses pembelajaran mereka. Akibatnya, materi yang diberikan kepada siswa sekedar membuat mereka dapat menjawab soal-soal tersebut, tetapi tidak punya kemampuan memahami dan mengimplementasikan materi tersebut untuk kepentingan praktis dan kemampuan berbahasa mereka. Pada akhirnya para siswa yang dikejar-kejar oleh target NEM-pun hanya berorientasi untuk lulus dari nilai minimal atau sekadar bisa menjawab soal pilihan ganda saja. Perlu diingat bahwa soal-soal UAN tidak memasukan materi menulis atau mengarang (soal esai).<br /><br />Peran guru Bahasa Indonesia juga tak lepas dari sorotan, mengingat guru merupakan tokoh sentral dalam pengajaran. Peranan penting guru juga dikemukakan oleh Harras (1994). Berdasarkan hasil penelitian yang dilakukan di beberapa negara berkembang, termasuk Indonesia, dilaporkannya bahwa guru merupakan faktor determinan penyebab rendahnya mutu pendidikan di suatu sekolah. Begitu pula penelitian yang dilakukan International Association for the Evaluation of Education Achievement menunjukkan bahwa adanya pengaruh yang signifikan antara tingkat penguasaan guru terhadap bahan yang diajarkan dengan pencapaian prestasi para siswanya . Sarwiji (1996) dalam penelitiannya tentang kesiapan guru Bahasa Indonesia, menemukan bahwa kemampuan mereka masih kurang. Kekurangan itu, antara lain, pada pemahaman tujuan pengajaran, kemampuan mengembangkan program pengajaran, dan penyusunan serta penyelenggaraan tes hasil belajar. Guru Bahasa Indonesia juga harus memperhatikan prinsip-prinsip pembelajaran bahasa yang langsung berhubungan dengan aspek pembelajaran menulis, kosakata, berbicara, membaca, dan kebahasaan .Rupanya guru juga harus selalu melakukan refleksi agar tujuan bersama dalam berbahasa Indonesia dapat tercapai.<br /><br />Selain itu, siswa dan guru memerlukan bahan bacaan yang mendukung pengembangan minat baca, menulis dan apreasi sastra. Untuk itu, diperlukan buku-buku bacaan dan majalah sastra (Horison) yang berjalin dengan pengayaan bahan pengajaran Bahasa Indonesia. Kurangnya buku-buku pegangan bagi guru, terutama karya-karya sastra mutakhir (terbaru) dan buku acuan yang representatif merupakan kendala tersendiri bagi para guru. Koleksi buku di perpustakaan yang tidak memadai juga merupakan salah satu hambatan bagi guru dan siswa dalam proses pembelajaran di sekolah perpustakaan sekolah hanya berisi buku paket yang membuat siswa malas mengembangkan minat baca dan wawasan mereka lebih jauh.<br /><br />Menyadari peran penting pendidikan bahasa Indonesia, pemerintah seharusnya terus berusaha meningkatkan mutu pendidikan tersebut. Apabila pola pendidikan terus stagnan dengan pola-pola lama, maka hasil dari pembelajaran bahasa Indonesia yang didapatkan oleh siswa juga tidak akan bepengaruh banyak. Sejalan dengan tujuan utama pembelajaran Bahasa Indonesia supaya siswa memiliki kemahiran berbahasa diperlukan sebuah pola alternatif baru yang lebih variatif dalam pengajaran bahasa Indonesia di sekolah. Agar proses KBM di kelas yang identik dengan hal-hal yang membosankan dapat berubah menjadi suasana yang lebih semarak dan menjadi lebih hidup. Dengan lebih variatifnya metode dan teknik yang disajikan diharapkan minat siswa untuk mengikuti pelajaran Bahasa Indonesia meningkat dan memperlihatkan antusiasme yang tinggi. Selain itu guru hendaknya melakukan penilaian proses penilaian atas kinerja berbahasa siswa selama KBM berlangsung. Jadi tidak saja berorientasi pada nilai ujian tertulis. Perlu adanya kolaborasi baik antar guru Bahasa Indonesia maupun antara guru Bahasa Indonesia dengan guru bidang studi lainnya. Dengan demikian, tanggung jawab pembinaan kemahiran berbahasa tidak semata-mata menjadi tanggung jawab guru Bahasa Indonesia melainkan juga guru bidang lain. Apabila, sistem pembelajaran Bahasa Indonesia yang setengah-setengah akan terus begini, maka metamorfosis sang ulat hanyalah akan tetap menjadi kepompong. Awet dan tidak berkembang karena pengaruh formalin pola pengajaran yang masih berorientasi pada nilai semata.Muhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0tag:blogger.com,1999:blog-4345051363685870451.post-9254693332793488742011-05-18T11:38:00.000-07:002011-05-18T11:40:46.367-07:00Negara Versus Korupsi: Mencari Indonesia Dalam Agama dan Kebudayaan<span style="font-style:italic;">Assalaamu’alaikum Warahmatullaahi Wabarakaatuh.</span><br /><br />Suatu kehormatan yang besar bagi kami memperoleh kesempatan menyampaikan Pidato Kebudayaan “Negara Versus Korupsi: Mencari Indonesia dalam Agama dan Kebudayaan” di Taman Ismail Marzuki. Terlebih lagi, kesempatan ini diberikan di dalam sepertiga akhir bulan mulia Ramadhan 1425 H. Saat dimana kita kian mendekatkan diri kepada-Nya sembari berkaca diri terhadap pencapaian moral spiritual individual dan kesalehan sosial kita. Untuk kehormatan yang membahagiakan ini kami sampaikan ucapan terima kasih dan penghargaan yang setinggi-tingginya kepada Dewan Kesenian Jakarta, yang telah memelihara suatu tradisi positif untuk menciptakan kedekatan hubungan rakyat dengan rakyat dan rakyat dengan pemimpin. Usaha ini perlu dipelihara dan didorong terus, mengingat makin berjaraknya hubungan keduanya. Dus, karena berjaraknya hubungan ini, isu-isu dan agenda bangsa menjadi elitis kian menjauh dari kepentingan kalangan akar rumput. Tradisi tatap muka ini, sangat mungkin menghadirkan kehangatan bersosialisasi, sekaligus memberi kesempatan para pemimpin untuk belajar langsung dari kebersahajaan rakyatnya.<br /><br />Para hadirin dan hadirat yang terhormat, para budayawan, para seniman, para aktivis, para cendekiawan, para mahasiswa dan kawan-kawan tercinta,<br /><br />Dalam kesempatan yang terhormat dan penuh kebahagian ini, sungguh tepat bila kita merenungkan sejenak perjalanan reformasi yang mengamanatkan demokratisasi , pemberantasan korupsi, perbaikan ekonomi dan jaminan keamanan. Perihal proses demokratisi, kita bersyukur kepada Allah SWT, karena rakyat telah berhasil melaksanakan pemilu legislatif, DPD dan pemilihan presiden langsung ; suatu tradisi berdemokrasi yang begitu penting dan akan menentukan nasib bangsa dan negara kita.<br /><br />Harus diakui secara jujur, perjalanan nasib bangsa dan negara kita telah mengalami berbagai musim pancaroba dan gelombang pasang surut yang melahirkan harapan sekaligus kecemasan. Kecemasan yang mendalam selama sewindu krisis multidimensi ini bahkan berimbas pada krisis identitas bangsa. Taufiq Ismail (2003) secara sinis memotret kondisi ini dalam, “Tak Tahu Aku Apa Jati Diriku Kini”:<br /><br />Kita hampir paripurna jadi bangsa porak poranda,<br />terbungkuk dibebani hutang dan merayap melata sengsara didunia<br /><br />Untuk bisa bertahan berakal waras saja di Indonesia kini, sudah untung<br />Pergelanggan tangan dan kaki Indonesia diborgol di ruang tamu Kantor<br />Pegadaian Jagat Raya, dan dipunggung kita kaos oblong dicap sablon<br />besar-besar : Tahanan IMF dan Bank Dunia.<br />Kita sudah jadi bangsa kuli dan babu di dunia,<br />diusir pula di tangga pelabuhan,<br />terapung-apung di lautan,<br />Kita sudah tidak merdeka lagi.<br />Indonesia sudah masuk ke dalam masa kolonialisme baru,<br />dengan penjajah yang banyak negara sekaligus,<br />Nilai-nilai luhur telah luluh lantak,<br />berkeping-keping dan hancur,<br />berserakan di kubangan Lumpur,…”<br /><br />Senada dengan gambaran di atas, dalam bahasa lain yang futuristik, pujangga Ronggowarsito (1802-1873) menulis “Serat Kalatidha” memprediksi munculnya “jaman edan”, suatu masa krisis sebuah bangsa. Secara bijak, pujangga ini menasihati kita agar tetap “eling” dan “waspada”.<br /><br /><span style="font-style:italic;">Amenangi jaman edan ,ewuh aya ing pambudi<br /><br />melu edan ora tahan<br /><br />yen tan melu anglakoni,boya kaduman melik<br /><br />kaliren wekasanipun<br /><br />Ndilallah karsa Allah<br /><br />Sakbeja-bejane kang lali<br /><br />luwih beja kang eling lawan waspada.</span>.<br /><br />Para hadirin dan hadirat yang berbahagia,<br /><br />Masalah korupsi telah menjadi bagian tak terpisahkan dari tubuh bangsa ini. Ia telah menjalar sebagai budaya sekaligus penyakit akut bagai virus ganas yang aktif menggerogoti ke sekujur tubuh negara. Ia bukan lagi bisul yang bisa ditutup-tutupi. Sungguh ironis, sebagai bangsa yang berbudaya luhur dan beragama –bahkan menempatkan Ketuhanan Yang Maha Esa sebagai pilar pertama dasar negara- juara pertama korupsi justru kita sandang. Berbagai indikator “olimpiade korupsi” diselenggarakan oleh lembaga asing semacam Transparancy International (TI) dan Political Economic Risk Consultancy (PERC), menempatkan RI sebagai ‘pemenang’.<br /><br />Dampak praktik korupsi begitu jelas telah memporak-porandakan bangsa kita. Studi Rose Ackerman (1999) menunjukkan bahwa negara-negara dengan tingkat korupsi yang tinggi memiliki tingkat kepercayaan yang rendah terhadap institusi-institusi publik. Ini berakibat lanjut pada pudarnya komitmen warga pada proyek kolektif dan perilaku warga, memacu tingkat kriminalitas dan disorganisasi sosial. Secara lebih khusus, laporan UNSFIR (United Nations Support for Indonesia Recovery, 2000) menyatakan bahwa keterlambatan Indonesia untuk melakukan pemulihan (recovery) pasca krisis yang menimpa Asia sejak 1997 juga akibat meluasnya korupsi di sektor publik<br />Sedangkan, Della Porta (2000) menengarai bahwa korupsi merupakan sebab dari buruknya kinerja pemerintahan. “Korupsi membawa buruk kinerja pemerintahan, dan buruknya kinerja pemerintahan merangsang warga negara untuk mengembangkan praktik-praktik penyuapan untuk mempermudah urusan atau mempengaruhi proses pengambilan keputusan, yang pada gilirannya kian menyuburkan praktik korupsi. Pada akhirnya, tingginya tingkat korupsi menimbulkan rendahnya tingkat kepercayaaan terhadap demokrasi.” Dengan kata lain, meminjam istilah Yudi Latif (2002), korupsi sangat erat dengan delegitimasi politik. Walhasil, pemerintahan yang koruptif akan menuai delegitimasi politik yang tidak menguntungkan sama sekali dengan demokrasi.<br /><br />Para hadirin dan hadirat yang berbahagia,<br /><br />Relasi agama dan pemberantasan korupsi dapat disederhanakan sebagai, “prestasi negara yang bangsanya religius akan lebih baik dalam pemberantasan korupsi”. Apabila yang terjadi sebaliknya, kita tidak serta merta menunjuk kesalahan terletak pada an sich agama, namun pada penghayatan keberagamaan masyarakat. Sangat gamblang, semua agama melarang perbuatan korupsi. Tetapi, mengapa orang beragama masih terjerumus pada tindakan yang dimusuhi agama? Salah satu jawabannya adalah tercerabutnya penghayatan terhadap visi agama yang luhur dalam praksis sosial sehari-hari. Sebagian kita masih lebih mementingkan kesalehan individual, dan kehilangan elan kesalehan sosial. Disinilah dibutuhkan peran keteladanan para pemimpin nasional untuk menegakkan kualitas spiritual bangsa, memupuk kualitas moral dan meningkatkan harkat martabat bagsa , menjadi krusial.<br /><br />Kita menaruh harapan besar pada upaya pemberantasan korupsi sebagaimana telah dijanjikan oleh presiden terpilih Susilo Bambang Yudhoyono yang kini bekerja keras dengan kabinet Indonesia Bersatu. Selain keberadaan berbagai perundangan untuk penyelenggaraan tata pemerintahan yang bebas KKN, rencana program 100 hari dengan terapi kejut seperti me”Nusakambang”kan para koruptor patut kita apresiasi dan tunggu pengejawantahannya. Larangan yang diserukan Komite Pemberantasan Korupsi supaya pejabat tidak menerima parsel juga merupakan angin segar pertanda mulai muncul gerakan mengurangi masuknya pintu-pintu budaya KKN.<br /><br />Jauh sebelum hingar bingar Pemilu, ormas tertua di Indonesia, Nahdlatul Ulama (NU) dan Muhammadiyah bersama-sama telah mengikat janji untuk bahu membahu memerangi budaya korupsi. Kita juga bersyukur dengan maraknya jaringan lembaga-lembaga swadaya masyarakat atau organisasi non pemerintah sejak beberapa tahun silam membentuk koalisi anti korupsi di setiap kabupaten dan provinsi melalui Gerakan Rakyat Anti Korupsi (GeRAK).<br /><br />Meskipun perlu terus mengkritisi efektivitas gerakan populis tersebut, kita berharap ormas-ormas lain untuk terlibat aktif dan kreatif menyambut semangat perang memberantas korupsi. Secara moral, lembaga dan ormas keagamaan memiliki otoritas menyerukan kepada institusi maupun individu anggotanya untuk menolak keras setiap sumbangan haram yang terindikasikan korupsi. Seruan atau slogan-slogan pemberantasan budaya korupsi seyogianya selalu dikelola secara cerdas dan berkesinambungan, mengimbangi kampanye konsumtivisme, hedonisme dan materialisme yang setiap hari gencar mengepung pemirsa lewat berbagai media massa.<br /><br />Alangkah indahnya membayangkan sinergi agama dan negara dalam pemberantasan korupsi; penegakkan hukum yang adil tanpa pandang bulu dilakukan pemerintah , sementara penghayatan keberagamaan melalui keteladanan para pemimpin dijalankan secara nyata, bukan sekedar wacana belaka.<br /><br />Dengan begitu agama benar-benar mampu menjadi kekuatan solutif bagi problema bangsa dan selalu mengedepankan azas manfaat (utility). Agama seyogianya menjadi ujung tombak yang merekatkan seluruh umat untuk saling mengokohkan eksistensi bangsa dalam memberantas korupsi. Ini sekaligus menepis anggapan negatif bahwa agama menjadi sumber konflik dan teror. Kita patut mendorong fungsi profetik agama yang mengedepankan supremasi hukum, proses demokratiasai dan memerangi korupsi. Fungsi ini hendaknya ditumbuh kembangkan secara partisipatoris dan dialogis mengingat pluralisme dalam kebangsaan kita. Jadi, tidak dibenarkan oleh agama atau hukum positif manapun, upaya pengurasakan secara sepihak terhadap tempat-tempat atau simbol kemaksiatan tanpa mengindahkan dampak yang muncul sebagai akibatnya.<br /><br />Terdapat ungkapan ‘the fish rots from the head’, ikan membusuk dari kepala. Dalam kalimat lain dinyatakan, ‘Bayangan selalu mengikuti sang badan’. Intinya adalah budaya paternalistik kita masih kuat. Rakyat cenderung melihat contoh dari apa yang dilakukan pemimpinnya. Karenanya, budaya paternalistik ini seyogianya mampu kita kelola untuk merekonstruksi perubahan mental pada elitnya. Jika para elit pimpinan bangsa menghendaki perubahan, perubahan itu pun harus dimulai dari pucuk pimpinan. Mustahil mengharapkan muncul perubahan budaya melawan korupsi, apabila elit pemimpin justru merasa nyaman dengan praktik tersebut. Mustahil mengharap negara berani membersihkan koruptor jika pemimpin kita membiarkan inefisiensi birokrasi tetap terjadi.<br /><br />Kebersahajaan, kebersihan dan kepedulian merupakan contoh-contoh ajaran mulia setiap agama untuk diperbincangkan sekedar sebuah idiologi. Semua ini bisa dipraktikkan sehari-hari, dan alangkah indahnya jika dimulai dari para pemimpin kita yang memiliki kedudukan sangat penting di dalam masyarakat, dan karenanya mempunyai pengaruh yang luas dalam masyarakat.<br /><br />Pada dasarnya, semua agama mengajarkan idealisme yang baik bagi penganutnya. Idealisme seperti bersahaja, bersih dan peduli jika dikerjakan dari yang kecil-kecil oleh pemimpin-pemimpin besar kita, maka merupakan bagian dari pengobatan penyakit sosial seperti korupsi.<br /><br />Pemimpin yang peduli tidak akan membiarkan kemungkaran terjadi di depan mata mereka. Mereka tidak saja menjaga fisik dan lingkungan sosial yang bersih, namun lebih dari itu kebersihan moral dan nurani akan selalu dipelihara. Mereka merasa kepemimpinan adalah amanah yang harus dijunjung tinggi, namun tetap dengan sikap bersahaja terhadap rakyat yang dipimpinnya. Mereka yang bersahaja akan jauh dari sikap tamak yang selalu menginginkan kelebihan materialisme dan hedonisme, -sumber godaan melakukan korupsi-.<br /><br />Kebesaran seorang tokoh pemimpin yang bersahaja, bersih dan peduli tidak datang secara sekejap dan tiba-tiba. Ia terlahir dari proses transformasi nilai yang lama ditempa sejak dini dalam lingkungan keluarga. Transformasi nilai tidak datang mendadak dalam kuliah-kuliah di perguruan tinggi atau lembaga-lembaga pendidikan formal. Kepuasan kita selama ini hanya pada verbalisme (Nurcholish Madjid, 2004) Yaitu perasaan telah berbuat sesuatu karena karena telah mengucapkannya sehari-hari. Seolah-olah kalau kita bicara kitab suci, sabda Tuhan, sabda Allah, dan suri tauldan para Rasul, para nabi, para aulia itu semuanya sudah selesai (Mohamad Sobary, 2004). Kebersahajaan, kebersihan dan kepedulian tidak akan terwujud hanya karena dikatakan dan dibicarakan setiap hari –betapapun kita sering dan rajinnya melakukan –melainkan harus dengan tindakan keteladanan yang berani, teguh dan istiqamah. “Mengapa kamu semua mengatakan sesuatu yang kamu sendiri tidak mengerjakannya?! Sungguh besar dosanya di sisi Allah bahwa kamu mengatakan sesuatu yang kamu sendiri tidak mengerjakannya”<br /><br />Kalau kita tengok sejarah, transformasi nilai yang dialami tokoh-tokoh pemimpin berawal dari didikan sejak kecil pada keluarga mereka. Di rumah tangga, patut diadakan dialog-dialog tata nilai atau ajaran yang meskipun normatif, tidak melulu diajarkan secara normatif. Diperlukan pendekatan secara dialektis dalam keluarga sehingga terlatih jika ada bandingan-bandingan. Ketika orang bicara bersih dan bersahaja, maka bersih dan bersahaja tidak bisa dijejalkan kepada anak sebagai sesuatu yang jadi. Ketika masih kanak-kanak kita tentu hapal bahwa kebersihan sebagian dari iman, namun bagaimana kebersihan sebagian dari iman itu supaya tidak tinggal kata-kata.<br /><br />Secara singkat dapat disimpulkan, kita menginginkan pemimpin bersahaja, bersih dan perduli bukan karena kebetulan bersahaja, bersih dan perduli. Namun karena bersahaja yang betul, tidak karena kebetulan. Bersih dan perduli pun yang betul, bukan karena kebetulan. “Tugas kebudayaan bangsa kita mengubah, mentransformasi segala hal apakah itu wisdom, apakah itu nilai-nilai dan semua perangkat ajaran dari tataran normatif menjadi tataran yang menyejarah, membuat orang-orang jujur itu jujur menyejarah.” (M. Sobary, 2004) .Barangkali inilah saatnya tatkala elit pemimpin kita justru perlu belajar dari kebersahajaan, kebersihan dan kepedulian dari rakyatnya.<br /><br />Keteladanan yang berani, teguh dan istiqamah termasuk nilai budaya yang kita ingin transformasikan sehingga menjadi gerakan nyata baik di tingkat elit pemimpin atau rakyat. Keteladan yang berani dapat muncul oleh karena kesadaran ketuhanan yang merata. Menurut Moh. Iqbal:<br /><br />“The sign of a kafir is that he is lost in horizon, while the sign of a mukmin is that the horizon lost in him”<br /><br />Para hadirin dan hadirat yang berbahagia,<br /><br />Sesuai dengan pasal 32 UUD 1945 yang telah diamendemen, salah satu tugas kebudayaan kita juga adalah mendorong pluralisme budaya. Negara memajukan kebudayaan nasional dengan tetap menjamin kemerdekaan masyarakat dalam melestarikan dan mengembangkan nilai-nilai kebudayaanya. Selanjutnya, negara menghormati dan memelihara bahasa daerah sebagai kekayaan budaya nasional. Kemajemukan budaya ini harus kita terus dorong tanpa perlu terjebak pada etnosentrime sempit sehingga warisan adi luhung nenek moyang kita tetap eksis di tengah-tengah pertempuran global elemen budaya asing.<br /><br />Salah satu warisan adi luhung yang cukup relevan kita pelihara adalah wasiat Ronggowarsito. Di tengah zaman “edan”, ketika budaya korupsi sudah mewabah demikian dahsyat, nasihat untuk“eling” dan “waspodo” dapat dikontekstualisasi dengan apa yang menjadi nilai-nilai kebangsaan di dalam UUD 1945.<br /><br />Kita diharapkan ‘eling’ bahwa bangsa ini memiliki potensi untuk bangkit dan bersaing dengan budaya bangsa lain (global). Kita menyadari , ‘eling’ sepenuhnya bahwa dengan kesederhanaan ketika masa perjuangan mampu menghantarkan bangsa ini merdeka dari penjajah.<br /><br />“Waspodo” dapat dimaknai agar kita menghadirkan kesadaran penuh tentang jati diri bangsa yang tidak ingin tereduksi justru karena budaya korupsi. Secara sederhana, budaya ‘waspodo’ telah ditunjukkan oleh rakyat kita dalam Pemilu 2004 silam. Budaya ‘money politics’ sudah berkurang tidak seperti dikhawatirkan banyak pihak.<br /><br />Wallahua’lam bishowaab,<br /><br /><span style="font-style:italic;">Wabillahitaufik wal hidaayah,<br /><br />Wassalaamu’alaikum Warahmatullaahi Wabarakaatuh.</span>Muhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0tag:blogger.com,1999:blog-4345051363685870451.post-25316213794634873232010-10-26T23:23:00.000-07:002010-10-26T23:31:44.964-07:00PERBEDAAN TOPIK,TEMA DAN JUDULdalam wacana percakapan. Menurut Howe <span style="font-weight:bold;">Topik</span> itu merupakan syarat terbentuknya wacana percakapan.Topik berasal dari bahasa Yunani yaitu “Topoi” yang berati tempat dalam tulis menulis,pembicaraan atau sesuatu yang menjadi landasan penulisan.maka dari itu topik merupakan Topic merupakan salah satu unsure yang penting<br /><span style="font-weight:bold;">Tema</span> merupakan amanat utama yang disampaikan oleh penulis melalui karangannya atau Dalam karang mengarang, tema juga adalah pokok pikiran yang mendasari karangan yang akan disusun. Dalam tulis menulis, tema adalah pokok bahasan yang akan disusun menjadi tulisan. Tema ini yang akan menentukan arah tulisan atau tujuan dari penulisan artikel itu. Menentukan tema berarti menentukan apa masalah sebenarmya yang akan ditulis atau diuraikan<br />Judul adalah sebuah nama yang dipakai untuk buku, bab dalam buku,atau kepala berita.Dalam artikel judul sering disebut juga kepala tulisan. Ada yang mendefinisikan <span style="font-weight:bold;">Judul</span> adalah lukisan singkat suatu artikel atau disebut juga miniatur isi bahasan. Judul hendaknya dibuat dengan ringkas, padat dan menarik. Judul artikel diusahakan tidak lebih dari lima kata, tetapi cukup menggambarkan isi bahasan.<br /><br /><br /><span style="font-weight:bold;">Syarat-syarat topik</span><br />Syarat topik bisa ditinjau dari 2 segi, yaitu topik yang baik bagi penulis dan topik yang baik bagi pembaca.<br />Bagi penulis, topik yang baik yaitu berbasis pada kompetensi penulisnya yaitu <br />• Bidang keahlian. <br />• Bidang studi yang didalami. <br />• Pengalaman penulis: pengalaman kerja, praktik dilapangan, penelitian, partisipasi dalam suatu kegiatan ilmiah. <br />• Bidang kerja atau profesi. <br />• Karakter penulis (baik, cerdas, inovatif, kreatif). <br />• Temuan yang pernah diteliti. <br />• Kualifikasi pengalaman: nasional, internasional. <br />• Kemampuan memenuhi tuntutan masyarakat pembacanya. <br />• Kemampuan memenuhi target kebutuhan segmen pembacanya, dan <br />• Temuan baru dalam bidang ilmu pengetahuan dan teknologi yang diperlukan pembacanya.<br />Sedangkan bagi pembaca, topik itu baik jika layak dibaca. Artinya, topik tersebut dapat mengembangkan kompetensi pembacanya, yaitu sesuai dengan: <br />• Tuntutan pembaca untuk mencapai target informasi yang diharapkan. <br />• Upaya pembaca untuk meningkatkan kecerdasan, kompetensi pengembangan akademik dan profesi. <br />• Ilmu pengetahuan dan teknologi yang ditekuni pembacanya. <br />• Pengembangan dan peningkatan karier dan profesinya. <br />• Upaya mempertajam dan memperhalus rasa kemanusiaan. <br />• Upaya mempertajam dan memperhalus daya nalarnya. <br />• Sesuai dengan kebutuhan informasi iptek yang diperlukan, dan sebagainya.<br /><br />Namun, jika ditinjau secara umum syarat topik yang baik yaitu:<br />1). Menarik untuk ditulis dan dibaca.<br />Topik yang menarik bagi penulis akan meningkatkan kegairahan dalam mengembangkan penulisannya, dan bagi pembaca akan mengundang minat untuk membacanya.<br />2). Dikuasai dengan baik oleh penulis minimal prinsip-prinsip ilmiah. <br />Untuk menghasilkan tulisan yang baik, penulis harus menguasai teori-teori (data sekunder), data di lapangan (data primer). Selain itu, penulis juga harus menguasai waktu, biaya, metode pembahasan, bahasa yang digunakan, dan bidang ilmu.<br /><br /><br /><span style="font-weight:bold;">Syarat-syarat tema</span><br />Berikut ini beberapa syarat tema yaitu :<br /><br />1)Tema harus menarik perhatian penulis.<br />2)Tema harus diketahui/dipahami penulis.<br />3)Tema harus Bermanfaat.<br />4)Tema yang dipilih harus berada disekitar kita.<br />5)Tema yang dipilih harus yang menarik.<br />6)Tema yang dipilih ruang lingkup sempit dan terbatas.<br />7)Tema yang dipilih memiliki data dan fakta yang obyektif.<br />8)Tema yang dipilih harus memiliki sumber acuan.<br />Syarat-syarat judul<br />ada beberapa Syarat-syarat judul yaitu:<br />• Harus bebentuk frasa,<br />• Tanpa ada singkatan atau akronim,<br />• Awal kata harus huruf kapital kecuali preposisi dan konjungsi,<br />• Tanpa tanda baca di akhir judul karangan,<br />• Menarik perhatian,<br />• Logis,<br />• Sesuai dengan isi<br />• Judul harus:.asli,relevan,provakitif,singkat<br /><br />Cara membatasi topik<br />Pembatasan sebuah topik mencangkup konsep, variabel, data, lokasi atau lembaga dan waktu pengumpulan data.<br /><br />Topik yang terlalu luas menghasilkan tulisan yang dangkal, tidak mendalam, dan tidak tuntas. Selain itu, pembahasan menjadi tidak fokus pada masalah utama yang ditulis atau dibaca. Akibatnya, pembahasan menjadi panjang, namun tidak berisi. Sebaliknya, topik yang terlalu sempit menghasilkan tulisan yang tidak (kurang) bermanfaat bagi pembacanya. Selain itu, karangan menjadi sulit dikembangkan, tidak menarik untuk dibahas ataupun dibaca.Maka dari itu, pembahasan topik dilakukan secara cermat, sesuai dengan kemampuan, tenaga, waktu, tempat, dan kelayakan yang dapat terima oleh pembacanya.<br />Contoh pembatasan topik:<br /><br />“Upaya mengembangkan kwalitas perawatan yang bermutu bagi pelayanan pasien di Rumah Sakit”.<br /><br />Jadi, kwalitas perawatan ini dikembangkan terbatas bagi pelayanan pasien di Rumah Sakit<br /><br /><br /><span style="font-weight:bold;">syarat-syarat Judul</span><br />Apabila topik dan tema sudah ditentukan barulah penulis merumuskan judul katyatulisnya. Judul yang dirumuskan sifatnya tentatif, karena selama proses penulisan adakemungkinan judul berubah.<br />Faktor-faktor yang perlu diperhatikan dalam merumuskan judul:<br />1. Judul hendaknya relevan dengan tema dan bagian-bagian dari tulisan tersebut;<br />2. Judul menimbulkan rasa ingin tahu seorang lain untuk membaca tulisan itu<br />(bersifat provokatif);<br />3. Judul tidak mempergunakan kalimat yang terlalu panjang, jika judul terlalu<br />panjang, dapat dibuat judul utama dan judul tambahan (subjudul);<br />4. Pada penulisan tertentu (yang ada hubungan sebab-akibat) seyogyanya judul harusmemiliki independent variable (variabel bebas) dan dependent variable (variahelterikat).Muhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0tag:blogger.com,1999:blog-4345051363685870451.post-75513860409658035102010-10-26T23:10:00.000-07:002010-10-26T23:23:16.977-07:00PEMBUATAN ALINIA/PARAGRAF<span style="font-weight:bold;">Pengertian</span><br /><br /> Alinea atau paragraf adalah satuan bentuk bahasa yang biasanya merupakan hasil penggabungan beberapa kalimat yang pendek / singkat yang berisi sebuah pikiran dan membentuk satu gagasan. Bila dalam sebuah alinea terdapat lebih dari satu gagasan, berarti alinea itu tidak baik dan perlu dipecah menjadi lebih dari satu alinea.<br /><br /><span style="font-weight:bold;">Tujuan Pembuatan Paragraf</span> :<br />a.Memudahkan pemahaman dengan menceraikan suatu tema dari tema yang lain.<br />b.Memisahkan dan menegaskan perhentian secara wajar dan formal.<br /><br /><span style="font-weight:bold;">Syarat syarat paragraf yang baik</span> :<br /><span style="font-weight:bold;">1</span> . Kepaduan Paragraf<br />Hubungan antara kalimat dengan kalimat. Untuk mencapai kepaduan, gunakanlah kata penghubung.<br /><br /><span style="font-weight:bold;">2</span>. Kesatuan Paragraf<br />Yang dimaksud kesatuan adalah tiap paragraf hanya mengandung satu pokok pikiran yang diwujudkan dalam kalimat utama. Hal ini terbagi atas dua , yaitu paragraph deduktif, yang merupakan kalimat utama diletakkan di awal paragraf sedangkan paragraf induktif adalah kalimat utama diletakkan di akhir paragraf.<br /><br /><span style="font-weight:bold;">3</span>. Kelengkapan Paragraf<br />Paragraf dikatakan lengkap apabila di dalamnya terdapat kalimat kalimat penjelas secara lengkap untuk menunjukkan pokok pikiran atau kalimat utama. Ciri ciri kalimat penjelas adalah berupa rincian, keterangan, contoh, dan lain-lain.<br /><br /><span style="font-weight:bold;">4</span>. Di setiap paragraf harus memuat kalimat pokok. Biasanya diletakkan pada awal paragraf, tetapi bisa juga diletakkan pada bagian tengah maupun akhir paragraf. Kalimat pokok adalah kalimat yang inti dari ide atau gagasan dari sebuah paragraf. Biasanya berisi suatu pernyataan yang nantinya akan dijelaskan lebih lanjut oleh kalimat lainnya dalam bentuk kalimat penjelas.<br /><br /><span style="font-weight:bold;">5</span>. Di setiap paragraf harus memuat kalimat penjelas. Kalimat penjelas adalah kalimat yang memberikan penjelasan tambahan atau detail rincian dari kalimat pokok suatu paragraf.<br /><br /><span style="font-weight:bold;">Unsur-unsur kebahasan</span> :<br /><br />Repetisi : pengulangan kata-kata yang dianggap cukup penting atau menjadi topik pembahasan.<br />Kata ganti : kata yang dipakai untuk menggantikan subyek pembicaraan.<br />Macam-macam kata ganti :<br /> a. kata ganti orang pertama (I) : aku, saya, ku,<br /> b. kata ganti orang kedua (II) : kamu, mu, kamu sekalian,<br /> c. kata ganti orang ketiga (III) : Anda, Dia, Beliau,mereka, nya.<br /> Kata transisi : kata yang berada di antara kata ganti dan kata repetisi.<br /> Macam-macam kata transisi :<br /> a. berhubungan dengan pertambahan;<br /> b. berhubungan dengan perbandingan;<br /> c. berhubungan dengan pertentangan;<br /> d. berhubungan dengan tempat;<br /> e. berhubungan dengan tujuan;<br /> f. berhubungan dengan waktu;<br /> g. berhubungan dengan singkatan<br /><br /><span style="font-weight:bold;">MACAM - MACAM PARAGRAF</span> :<br />Menurut fungsinya<br />Alinea pembukaa.<br />a.membuka suatu karangan<br />b.menarik minat dan perhatian pembaca<br />c.menyiapkan pikiranpembaca<br /><br />Alineapenghubung<br />Semua alinea yang terdapat diantara alinea pembuka dengan alinea penutup.<br /><br />Alinea penutup<br />a.mengakhiri karangan/bagian karangan<br />b.mengandung kesimpulan yang bulat dan betul-betul mengakhiri uraian<br />c.menimbulkan banyak kesan<br /><br /><span style="font-weight:bold;">Menurut posisi kalimat topik</span> :<br /><br /><span style="font-weight:bold;">a) </span> Alinea Deduktif<br />Bila kalimat pokok ditempat pada bagian awal alinea akan terbentuk alinea deduktif, yaitu alinea yang menyajikan pokok permasalahan terlebih dahulu, lalu menyusul uraian yang terinci mengenai permasalahan atau gagasan alinea (urutan umum-khusus).<br /><span style="font-weight:bold;">b)</span> Alinea Induktif<br />Bila kalimat pokok ditempatkan pada akhir alinea akan terbentuk alinea induktif, yaitu alinea yang menyajikan penjelasan terlebih dahulu, barulah diakhiri dengan pokok pembicaraan (urutan khusus-umum).<br /><span style="font-weight:bold;">c)</span> Alinea Deduktif-Induktif<br />Bila kalimat pokok ditempatkan pada bagian awal dan akhir alinea, terbentuklah alinea campuran deduktif-induktif. Kalimat pada akhir alinea umumnya menegasakan kembali gagasan utama yang terdapat pada awal alinea.<br /><span style="font-weight:bold;">d)</span> Alinea Penuh Kalimat Topik<br />Semua kalimatnya penting. Alinea semacam ini sering dijumpai dalam uraian-uraian bersifat deskriptif dan naratif terutama dalam karangan fiksi.<br /><br /><br /><span style="font-weight:bold;">Berdasarkan isinya</span> : <br />a) alinea persuatif, jika isi alinea mempromosikan sesuatu dengan cara mempengaruhi atau mengajak pembaca.<br />b) alinea argumentatif, jika isi alinea membahas satu masalah dengan bukti-bukti alasan yang mendukung.<br />c) alinea naratif, jika isi alinea menuturkan peristiwa atau keadaan kedalam bentuk cerita.<br />d) alinea deskriptif, jika isi alinea melukiskan atau menggambarkan sesesuatu dengan bahasa.<br />e) alinea ekspositoris, jika isi alinea memaparkan sesuatu fakta atau kejadian tertentu.<br /><br /><span style="font-weight:bold;">Macam-macam Metode Pengembangan Paragraf</span><br />1. Klimaks dan Anti Klimaks<br />2. Sudut Pandangan / Point of View<br />3. Proses<br />4. Perbandingan dan Pertentangan<br />5. Analogi<br />6. Contoh<br />7. Kausal<br />8. Umum-Khusus / Khusus-Umum<br />9. Klasifikasi<br />10. Definisi Luas<br />Berikut ini adalah beberapa penjelasannya. <br />*7contoh dibawah ini yang saya buat adalah hasil dari pemahaman saya sendiri dengan melihat beberapa sumber.<br /><br /><span style="font-weight:bold;">1.</span> Cara Pertentangan<br />Pengembangan paragraf dengan cara pertentangan biasanya menggunakan ungkapan. Ungkapan seperti berbeda dengan, sedangkan , lain halnya dengan , akan tetapi ,<br />dan bertolak belakang dari.<br /><br />Contoh :<br />Kekuatan tim voli yang berasal dari bandung kini sangat jauh berbeda dari tim bandung pada jaman 2000an. Dimana dengan tim bandung yang sekarang, mereka lebih memiliki kulitas tim dan pemain yang berhasil juara dan menjadi tim yang patut di segani di daerah jawa barat dan sekitarnya.<br /><br /><span style="font-weight:bold;">2.</span> Cara perbandingan<br />Pengembangan paragraf dengan cara perbandingan biasa menggunakan ungkapan<br />Seperti seruan dengan, seperti halnya , demekian juga , sama dengan , sejalan dengan ,<br />akan tetapi , sedangkan , dan sementara itu.<br /><br />Contoh :<br />Bemo adalah sebutan alat transportasi beroda empat yang pada masanya sangat dibutuhkan oleh masyarakat umum. Bemo begitulah sebutannya yang terkenal di wilayah Kota Bogor. Bajaj hampir mirip dengan bemo., akan tetapi bajaj hanya mempunyai 3 roda. Seperti halnya bemo, bajaj pun digunakan sebagai alat transportasi di Kota Jakarta yang kini sudah sulit untuk kendaraan bajaj ini beroperasi karena semakin banyaknya kendaraan lain yang lebih digandrungi masyarakat.<br /><br /><span style="font-weight:bold;">3.</span> Cara Analogi<br />Analogi adalah bentuk pengungkapan suatu objek yang di jelaskan dengan objek lain yang memiliki kesamaan atau kemiripan.<br /><br />Contoh :<br />Anak itu sering sekali menghabiskan uang jajannya untuk sesuatu barang yang tak penting. Bahkan ia rela meminjam uang kepada teman kelasnya. Ibaratnya besar pasak daripada tiang, pengeluarannya banyak namun pendapatan sedikit.<br /><br /><br /><span style="font-weight:bold;">4.</span> Cara Contoh<br />Kata seperti , misalnya , contonya , dan lain lain adalah ungkapan. Ungkapan dalam pengembangan dalam mengembangkan paragraf dengan contoh.<br /><br />Contoh :<br />Setiap manusia membutuhkan banyak vitamin. Vitamin itu sendiri banyak terkandung di buah-buahan dan sayur-sayuran. Buah-buahan yang banyak mengandung vitamin, contohnya jeruk, jambu biji, mangga dan banyak lainnya.<br /><br /><span style="font-weight:bold;">5.</span> Cara Sebab Akibat<br />Pengembangan paragraf dengan cara sebab akibat dilakukan jika menerangkan suatu kejadian , baik dari segi penyebab maupun dari segi akibat.<br /><br />Contoh :<br />Shelly melahap habis jajanan yang dibelinya di pinggir jalan kemarin. Tanpa memperhatikan jajanan yang dibelinya sudah tercemar polusi. Akibatnya shelly sekarang tidak masuk kuliah karena perutnya sakit. Oleh karena itu, dia harus meminum obat diare.<br /><br /><span style="font-weight:bold;">6.</span> Cara Definisi<br />Adalah, yaitu, ialah, merupakan adalah kata-kata yang di gunakan dalam mengembangkan paragraf dengan cara definisi.<br /><br />Contoh :<br />Ayah saya seorang dokter. Dokter adalah pekerjaan yang membantu orang sakit untuk dapat sembuh dari penyakitnya. Banyak sekali bidang kedokteran, yaitu dokter spesialis anak, umum, bedah, kulit, dan lain sebagainya. Masing-masing bidang tersebut memiliki perbedaan dalam masalah penanganan terhadap pasiennya.<br /><br /><span style="font-weight:bold;">7.</span> Cara Klasifikasi<br />Cara klasifikasi adalah pengembangan paragraf melalui pengelompokan berdasarkan cirri-ciri tertentu.<br /><br />Contoh :<br />Banyak sekali jenis-jenis hewan. Hewan dibedakan dari 3 jenis, seperti herbivora, karnivora, dan omnivora. Herbivora yaitu jenis hewan pemakan daun atau tumbuh-tumbuhan, karnivora termasuk hewan pemakan daging, dan omnivora adalah hewan pemakan segalanya.<br /><br /><span style="font-style:italic;">Sumber</span> ::<br />http://jhonyirwanto.blogspot.com/2009/12/bahasa-indonesia-paragraf-alinea.html<br />http://community.gunadarma.ac.id/blog/view/id_5541/title_paragraf-alinea/<br />http://ibuku.zxq.net/Bab%205%20Paragraf.pdfMuhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0tag:blogger.com,1999:blog-4345051363685870451.post-62626564661976149552010-10-26T23:02:00.001-07:002010-10-26T23:09:54.668-07:00KALIMAT EFEKTIF<span style="font-weight:bold;">Pengertian Kalimat Efektif</span><br /><br />Kalimat efektif adalah kalimat yang mengungkapkan pikiran atau gagasan yang disampaikan sehingga dapat dipahami dan dimengerti oleh orang lain.<br /><br /><span style="font-weight:bold;">Kalimat efektif syarat-syarat sebagai berikut:</span><br />1.secara tepat mewakili pikiran pembicara atau penulisnya.<br />2.mengemukakan pemahaman yang sama tepatnya antara pikiran pendengar atau pembaca dengan yang dipikirkan pembaca atau penulisnya.<br /><br />Ciri-Ciri Kalimat Efektif<br /><br /><span style="font-weight:bold;">1</span>.Kesepadanan<br />Suatu kalimat efektif harus memenuhi unsur gramatikal yaitu unsur subjek (S), predikat (P), objek (O), keterangan (K). Di dalam kalimat efektif harus memiliki keseimbangan dalam pemakaian struktur bahasa.<br />Contoh:<br />Budi (S) pergi (P) ke kampus (KT).<br />Tidak Menjamakkan Subjek<br />Contoh:<br />Tomi pergi ke kampus, kemudian Tomi pergi ke perpustakaan (tidak efektif)<br />Tomi pergi ke kampus, kemudian ke perpustakaan (efektif)<br /><br /><span style="font-weight:bold;">2</span>.Kecermatan Dalam Pemilihan dan Penggunaan Kata<br />Dalam membuat kalimat efektif jangan sampai menjadi kalimat yang ambigu (menimbulkan tafsiran ganda).<br />Contoh:<br />Mahasiswa perguruan tinggi yang terkenal itu mendapatkan hadiah (ambigu dan tidak efektif).<br />Mahasiswa yang kuliah di perguruan tinggi yang terkenal itu mendapatkan hadiah (efektif).<br /><br /><span style="font-weight:bold;">3</span>.Kehematan<br />Kehematan dalam kalimat efektif maksudnya adalah hemat dalam mempergunakan kata, frasa, atau bentuk lain yang dianggap tidak perlu, tetapi tidak menyalahi kaidah tata bahasa. Hal ini dikarenakan, penggunaan kata yang berlebih akan mengaburkan maksud kalimat. Untuk itu, ada beberapa kriteria yang perlu diperhatikan untuk dapat melakukan penghematan, yaitu:<br />a. Menghilangkan pengulangan subjek.<br />b. Menghindarkan pemakaian superordinat pada hiponimi kata.<br />c. Menghindarkan kesinoniman dalam satu kalimat.<br />d. Tidak menjamakkan kata-kata yang berbentuk jamak.<br />Contoh:<br />Karena ia tidak diajak, dia tidak ikut belajar bersama di rumahku. (tidak efektif)<br />Karena tidak diajak, dia tidak ikut belajar bersama di rumahku. (efektif)<br />Dia sudah menunggumu sejak dari pagi. (tidak efektif)<br />Dia sudah menunggumu sejak pagi. (efektif)<br /><br /><span style="font-weight:bold;">4</span>.Kelogisan<br />Kelogisan ialah bahwa ide kalimat itu dapat dengan mudah dipahami dan penulisannya sesuai dengan ejaan yang berlaku. Hubungan unsur-unsur dalam kalimat harus memiliki hubungan yang logis/masuk akal.<br />Contoh:<br />Untuk mempersingkat waktu, kami teruskan acara ini. (tidak efektif)<br />Untuk menghemat waktu, kami teruskan acara ini. (efektif)<br /><br /><span style="font-weight:bold;">5</span>.Kesatuan atau Kepaduan<br />Kesatuan atau kepaduan di sini maksudnya adalah kepaduan pernyataan dalam kalimat itu, sehingga informasi yang disampaikannya tidak terpecah-pecah. Ada beberapa hal yang perlu diperhatikan untuk menciptakan kepaduan kalimat, yaitu:<br />a. Kalimat yang padu tidak bertele-tele dan tidak mencerminkan cara berpikir yang tidak simetris.<br />b. Kalimat yang padu mempergunakan pola aspek + agen + verbal secara tertib dalam kalimat-kalimat yang berpredikat pasif persona.<br />c. Kalimat yang padu tidak perlu menyisipkan sebuah kata seperti daripada atau tentang antara predikat kata kerja dan objek penderita.<br />Contoh:<br />Kita harus dapat mengembalikan kepada kepribadian kita orang-orang kota yang telah terlanjurmeninggalkan rasa kemanusiaan itu. (tidak efektif)<br />Kita harus mengembalikan kepribadian orang-orang kota yang sudah meninggalkan rasa kemanusiaan. (efektif)<br />Makalah ini membahas tentang teknologi fiber optik. (tidak efektif)<br />Makalah ini membahas teknologi fiber optik. (efektif)<br /><br /><span style="font-weight:bold;">6</span>.Keparalelan atau Kesajajaran<br />Keparalelan atau kesejajaran adalah kesamaan bentuk kata atau imbuhan yang digunakan dalam kalimat itu. Jika pertama menggunakan verba, bentuk kedua juga menggunakan verba. Jika kalimat pertama menggunakan kata kerja berimbuhan me-, maka kalimat berikutnya harus menggunakan kata kerja berimbuhan me- juga.<br />Contoh:<br />Kakak menolong anak itu dengan dipapahnya ke pinggir jalan. (tidak efektif)<br />Kakak menolong anak itu dengan memapahnya ke pinggir jalan. (efektif)<br />Anak itu ditolong kakak dengan dipapahnya ke pinggir jalan. (efektif)<br />Harga sembako dibekukan atau kenaikan secara luwes. (tidak efektif)<br />Harga sembako dibekukan atau dinaikkan secara luwes. (efektif)<br /><br /><span style="font-weight:bold;">7</span>.Ketegasan<br />Ketegasan atau penekanan ialah suatu perlakuan penonjolan terhadap ide pokok dari kalimat. Untuk membentuk penekanan dalam suatu kalimat, ada beberapa cara, yaitu:<br />a. Meletakkan kata yang ditonjolkan itu di depan kalimat (di awal kalimat).<br />Contoh:<br />Harapan kami adalah agar soal ini dapat kita bicarakan lagi pada kesempatan lain.<br />Pada kesempatan lain, kami berharap kita dapat membicarakan lagi soal ini. (ketegasan)<br />Presiden mengharapkan agar rakyat membangun bangsa dan negara ini dengan kemampuan yang ada pada dirinya.<br />Harapan presiden ialah agar rakyat membangun bangsa dan negaranya. (ketegasan)<br />b. Membuat urutan kata yang bertahap.<br />Contoh:<br />Bukan seribu, sejuta, atau seratus, tetapi berjuta-juta rupiah, telah disumbangkan kepada anak-anak terlantar. (salah)<br />Bukan seratus, seribu, atau sejuta, tetapi berjuta-juta rupiah, telah disumbangkan kepada anak-anak terlantar. (benar)<br />c. Melakukan pengulangan kata (repetisi).<br />Contoh:<br />Cerita itu begitu menarik, cerita itu sangat mengharukan.<br />d. Melakukan pertentangan terhadap ide yang ditonjolkan.<br />Contoh:<br />Anak itu bodoh, tetapi pintar.<br />e. Mempergunakan partikel penekanan (penegasan), seperti: partikel –lah, -pun, dan –kah.<br />Contoh:<br />Dapatkah mereka mengerti maksud perkataanku?<br />Dialah yang harus bertanggung jawab dalam menyelesaikan tugas ini.Muhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0tag:blogger.com,1999:blog-4345051363685870451.post-49510586599363562352010-10-26T22:44:00.000-07:002010-10-26T23:02:04.679-07:00VHDLVHDL awalnya dikembangkan atas perintah dari AS Departemen Pertahanan dalam rangka untuk mendokumentasikan perilaku ASICS bahwa perusahaan pemasok yang termasuk dalam peralatan. Artinya, VHDL dikembangkan sebagai alternatif untuk besar, manual kompleks yang dikenakan detail implementasi khusus.<br />Gagasan untuk dapat mensimulasikan dokumentasi ini begitu jelas menarik bahwa simulator logika dikembangkan yang dapat membaca file VHDL. Langkah selanjutnya adalah pengembangansintesis logika alat yang membaca VHDL, dan output definisi pelaksanaan fisik sirkuit. alat sintesis modern dapat mengekstrak RAM , counter , dan blok aritmatika keluar dari kode, dan menerapkannya sesuai dengan apa yang user menentukan. Dengan demikian, kode VHDL yang sama dapat disintesis berbeda untuk daerah terendah, terendah daya konsumsi, clock speed tertinggi, atau persyaratan lainnya.<br />VHDL banyak meminjam dari bahasa pemrograman di kedua konsep (misalnya, notasi slice untuk bagian pengindeksan sebuah array dimensi satu) dan sintaks . VHDL memiliki konstruksi untuk menangani paralelisme yang melekat dalam desain perangkat keras, tetapi konstruksi (proses) berbeda dalam sintaks dari paralel dengan konstruksi di Ada (tugas). Seperti Ada, VHDL sangat diketikdan tidak sensitif huruf . Ada banyak fitur dari VHDL yang tidak ditemukan di Ada, seperti set diperpanjang operator Boolean termasuk nand dan juga, untuk secara langsung merupakan usaha yang umum di hardware. VHDL juga memungkinkan array untuk diindeks di kedua arah (menaik atau menurun) karena kedua konvensi digunakan dalam perangkat keras, sedangkan Ada (seperti kebanyakan bahasa pemrograman) menyediakan naik pengindeksan saja. Alasan kesamaan antara kedua bahasa adalah bahwa Departemen Pertahanan yang diperlukan sebanyak mungkin sintaks harus didasarkan pada Ada, untuk menghindari re-inventing konsep yang telah diuji secara menyeluruh dalam pengembangan Ada.<br />Versi awal VHDL, dirancang untuk IEEE standar 1076-1987 , termasuk berbagai jenis data, termasuk numerik ( integer dan real ), logis ( bit dan boolean ), karakter dan waktu , ditambah dengan arraydari disebut bit_vector bit dan karakter disebut string .<br />Suatu masalah tidak diselesaikan dengan edisi ini, bagaimanapun, adalah "multi-nilai logika", dimana drive's kekuatan sinyal (tidak kuat, lemah atau) dan nilai-nilai yang tidak diketahui juga dipertimbangkan. Ini diperlukan standar IEEE 1164 , yang mendefinisikan nilai logika jenis-9: std_ulogic skalar dan vektor std_ulogic_vector versinya.<br />Isu kedua IEEE 1076 , pada tahun 1993, membuat sintaks lebih konsisten, memungkinkan fleksibilitas yang lebih dalam penamaan, memperluas character tipe untuk memungkinkan ISO-8859-1 karakter yang dapat dicetak, menambahkan xnor operator, dll<br />Perubahan kecil dalam standar (2000 dan 2002) menambahkan gagasan jenis dilindungi (mirip dengan konsep kelas di C + +) dan dihapus beberapa pembatasan dari aturan pemetaan pelabuhan.<br />Selain standar IEEE 1164, standar beberapa anak diperkenalkan untuk memperluas fungsi bahasa. IEEE 1076,2 standar ditambahkan penanganan lebih baik dari tipe data yang nyata dan kompleks.IEEE 1076,3 standar diperkenalkan signed dan unsigned jenis untuk memfasilitasi operasi aritmatika pada vektor. IEEE 1076,1 standar (dikenal sebagai VHDL-AMS ) yang disediakan-sinyal rangkaian desain ekstensi dan campuran analog.<br />Beberapa standar lain mendukung penggunaan VHDL lebih luas, terutama VITAL (VHDL Inisiatif Menuju Perpustakaan ASIC) dan microwave ekstensi desain sirkuit.<br />Pada bulan Juni 2006, Komite Teknis VHDL Accellera (dilimpahkan oleh IEEE untuk bekerja pada update berikutnya standar) menyetujui disebut Draft 3.0 dari VHDL-2006. Tetap menjaga kompatibilitas penuh dengan versi yang lebih tua, ini standar yang diusulkan memberikan banyak ekstensi yang membuat tulisan dan mengelola kode VHDL lebih mudah. Perubahan utama meliputi penggabungan standar anak (1164, 1.076,2, 1.076,3) ke standar 1076 utama, satu set diperpanjang operator, sintaks yang lebih fleksibel 'kasus' dan 'menghasilkan' laporan, penggabungan VHPI (interface untuk C / C + + bahasa) dan subset dari PSL ( Properti Spesifikasi Bahasa ). Perubahan ini harus meningkatkan kualitas kode VHDL disintesis, membuat testbenches lebih fleksibel, dan memungkinkan penggunaan lebih luas deskripsi VHDL untuk sistem-tingkat.<br />Pada bulan Februari 2008, Accellera disetujui VHDL 4.0 juga informal dikenal sebagai VHDL 2008, yang ditujukan lebih dari 90 masalah ditemukan selama masa uji coba untuk versi 3.0 dan ditingkatkan termasuk jenis generik. Pada tahun 2008, Accellera dirilis VHDL 4.0 untuk IEEE untuk pemungutan suara atas penyertaan dalam IEEE 1076-2008. Standar VHDL IEEE 1076-2008 telah disetujui oleh RevCom pada bulan September 2008.<br /><br />Desain<br />VHDL biasanya digunakan untuk menulis model teks yang menggambarkan rangkaian logika. Seperti model diproses oleh program sintesis, hanya jika itu adalah bagian dari desain logika. Sebuah program simulasi digunakan untuk menguji desain logika dengan menggunakan model simulasi untuk mewakili sirkuit logika yang antarmuka ke desain. Koleksi model simulasi ini biasanya disebuttestbench.<br />VHDL memiliki input file dan kemampuan keluaran, dan dapat digunakan sebagai bahasa untuk keperluan umum untuk pemrosesan teks, tetapi file yang lebih sering digunakan oleh testbench simulasi untuk data stimulus atau verifikasi. Ada beberapa compiler VHDL yang membangun binari-binari executable. Dalam hal ini, ada kemungkinan untuk menggunakan VHDL untuk menulistestbench untuk memverifikasi fungsi dari desain menggunakan file pada komputer host untuk menentukan rangsangan, untuk berinteraksi dengan pengguna, dan membandingkan hasilnya dengan yang diharapkan. Namun, desainer yang paling meninggalkan pekerjaan ini ke simulator.<br />Hal ini relatif mudah bagi developer berpengalaman untuk menghasilkan kode yang mensimulasikan berhasil tetapi itu tidak dapat disintesis menjadi perangkat yang nyata, atau terlalu besar untuk praktis. Satu perangkap tertentu adalah produksi disengaja transparan kait daripada D-jenis flip-flop sebagai elemen penyimpanan.<br />VHDL bukan bahasa case sensitive. Satu dapat desain hardware di IDE VHDL (untuk implementasi FPGA seperti Xilinx ISE, Altera Quartus, Synopsys Synplify atau Mentor Graphics Designer HDL) untuk menghasilkan RTL skematik dari rangkaian yang diinginkan. Setelah itu, skema yang dihasilkan dapat diverifikasi menggunakan software simulasi yang menunjukkan bentuk gelombang input dan output dari sirkuit setelah menghasilkan testbench sesuai. Untuk menghasilkan testbench sesuai untuk sirkuit tertentu atau kode VHDL, masukan harus didefinisikan dengan benar. Misalnya, untuk input jam, proses loop atau pernyataan iterasi diperlukan.<br />Keuntungan utama dari VHDL bila digunakan untuk desain sistem adalah bahwa hal itu memungkinkan perilaku sistem perlu dijelaskan (model) dan diverifikasi (simulasi) sebelum alat sintesis menterjemahkan desain ke dalam perangkat keras yang nyata (gerbang dan kabel).<br />Manfaat lain adalah bahwa VHDL memungkinkan deskripsi sistem konkuren (banyak bagian, masing-masing dengan perilakunya sendiri-sub, bekerja bersama-sama pada waktu yang sama). VHDL adalah bahasa dataflow , tidak seperti bahasa komputasi prosedural seperti BASIC, C, dan kode assembly, yang semuanya berjalan secara berurutan, satu instruksi pada satu waktu.<br />Titik akhir adalah bahwa ketika model VHDL diterjemahkan ke dalam "gerbang dan kawat" yang dipetakan ke perangkat programmable logic seperti CPLD atau FPGA , maka itu adalah sebenarnya perangkat keras yang sedang diatur, daripada kode VHDL yang "dieksekusi "seolah-olah pada beberapa bentuk chip prosesor.<br /><br /><br />Contoh Desain<br />Dalam VHDL, desain terdiri minimal dari suatu entitas yang menggambarkan antarmuka dan arsitektur yang berisi implementasi aktual. Selain itu, desain paling impor modul perpustakaan. Beberapa desain juga mengandung beberapa arsitektur dan konfigurasi.<br />Sederhana DAN gerbang dalam VHDL akan terlihat seperti ini:<br /> -- (this is a VHDL comment)<br /> <br />-- import std_logic from the IEEE library<br />library IEEE;<br />use IEEE.std_logic_1164.all;<br /> <br />-- this is the entity<br />entity ANDGATE is<br /> port ( <br /> IN1 : in std_logic;<br /> IN2 : in std_logic;<br /> OUT1: out std_logic);<br />end ANDGATE;<br /> <br />architecture RTL of ANDGATE is<br />begin<br /> <br /> OUT1 <= IN1 and IN2;<br /> <br />end RTL;<br />Sementara contoh di atas mungkin tampak sangat verbose untuk pemula HDL, banyak bagian baik opsional atau perlu ditulis hanya sekali. Umumnya fungsi sederhana seperti ini merupakan bagian dari modul perilaku yang lebih besar, daripada memiliki modul terpisah untuk sesuatu yang sangat sederhana. Selain itu, penggunaan unsur-unsur seperti jenis std_logic mungkin pada awalnya tampaknya menjadi sebuah berlebihan. Satu dapat dengan mudah menggunakan built-in tipe bit dan menghindari impor perpustakaan di awal. Namun, dengan menggunakan ini bernilai logika 9 ( U, X, 0, 1, Z, W, H, L, - ) bukan bit sederhana (0,1) menawarkan simulasi yang sangat kuat dan alat debugging ke desainer yang saat ini tidak tidak ada dalam HDL lain.<br />Dalam contoh berikut, Anda akan melihat bahwa kode VHDL dapat ditulis dalam bentuk yang sangat kompak. Namun, desainer yang berpengalaman biasanya menghindari bentuk-bentuk kompak dan menggunakan gaya yang lebih verbose coding untuk memudahkan pembacaan dan rawatan. Keuntungan lain dengan gaya pengkodean verbose adalah jumlah kecil sumber daya yang digunakan ketika pemrograman untuk Programmable Logic Device seperti CPLD.<br /><br /><br />Synthesizeable konstruksi dan VHDL template<br />VHDL sering digunakan untuk dua tujuan yang berbeda: simulasi desain elektronik dan sintesis dari desain tersebut. Sintesis adalah proses di mana sebuah VHDL disusun dan dipetakan ke dalam sebuah teknologi penerapan seperti FPGA atau ASIC. Banyak FPGA vendor telah gratis (atau murah) alat untuk mensintesis VHDL untuk digunakan dengan chip mereka, di mana alat ASIC seringkali sangat mahal.<br />Tidak semua konstruksi dalam VHDL cocok untuk sintesis. Sebagai contoh, sebagian besar konstruksi yang menangani secara eksplisit dengan waktu seperti menunggu selama 10 ns, tidak disintesis meskipun berlaku untuk simulasi. Sementara alat sintesis yang berbeda memiliki kemampuan yang berbeda, ada subset disintesis umum VHDL yang mendefinisikan bahasa apa konstruksi dan idiom peta ke perangkat keras yang umum untuk peralatan sintesis banyak. 1.076,6 IEEE mendefinisikan subset dari bahasa yang dianggap sebagai subset sintesis resmi. Hal ini umumnya dianggap sebagai "praktek terbaik" untuk menulis kode yang sangat idiomatic untuk sintesis sebagai hasil dapat benar atau suboptimal untuk non-standar konstruksi.<br />Beberapa contoh kode yang peta ke multiplexer hardware tool berikut:<br /><br />MUX template<br />The multiplexer , atau 'MUX' seperti yang biasa disebut, adalah sederhana membangun sangat umum dalam desain hardware. Contoh di bawah menunjukkan dua sederhana untuk satu MUX, dengan masukan A dan B, selektor S dan output X:<br /> <br /> -- template 1:<br />X <= A when S = '1' else B;<br /> <br />-- template 2:<br />with S select<br /> X <= A when '1',<br /> B when others;<br /> <br />-- template 3:<br />process(A,B,S)<br />begin<br /> case S is<br /> when '1' => X <= A;<br /> when others => X <= B;<br /> end case;<br />end process;<br /> <br />-- template 4:<br />process(A,B,S)<br />begin<br /> if S = '1' then<br /> X <= A;<br /> else<br /> X <= B;<br /> end if;<br />end process;<br /> <br />-- template 5 - 4:1 MUX, where S is a 2-bit std_logic_vector :<br />process(A,B,C,D,S)<br />begin<br /> case S is<br /> when "00" => X <= A;<br /> when "01" => X <= B;<br /> when "10" => X <= C;<br /> when others => X <= D; <br /> end case;<br />end process;<br />Tiga terakhir template menggunakan apa yang VHDL panggilan 'berurutan' kode. Bagian sekuensial selalu ditempatkan di dalam proses dan memiliki sintaks yang sedikit berbeda yang mungkin mirip dengan bahasa pemrograman yang lebih tradisional.<br /><br />Latch template<br />Sebuah transparan latch pada dasarnya adalah satu bit memori yang diperbarui bila memungkinkan sinyal yang dibangkitkan:<br /> -- latch template 1:<br />Q <= D when Enable = '1' else Q;<br /> <br />-- latch template 2:<br />process(D,Enable)<br />begin<br /> if Enable = '1' then<br /> Q <= D;<br /> end if;<br />end process;<br />Sebuah SR-latch menggunakan satu set dan sinyal reset gantinya:<br /> -- SR-latch template 1:<br />Q <= '1' when S = '1' else<br /> '0' when R = '1' else Q;<br /> <br />-- SR-latch template 2:<br />process(S,R)<br />begin<br /> if S = '1' then<br /> Q <= '1';<br /> elsif R = '1' then<br /> Q <= '0';<br /> end if;<br />end process;<br />2 template memiliki implisit "lain Q <= Q;" yang mungkin secara eksplisit ditambahkan jika diinginkan.<br />-- This one is a RS-latch (i.e. reset dominates)<br />process(S,R)<br />begin<br /> if R = '1' then<br /> Q <= '0';<br /> elsif S = '1' then<br /> Q <= '1';<br /> end if;<br />end process;<br /><br />D-type flip-flop<br />D-type flip-flop sampel sinyal masuk atau jatuh di tepi terbit jam. The DFF adalah dasar untuk semua logika sinkron.<br /> -- simplest DFF template (not recommended)<br />Q <= D when rising_edge(CLK);<br /> <br />-- recommended DFF template:<br />process(CLK)<br />begin<br /> -- use falling_edge(CLK) to sample at the falling edge instead<br /> if rising_edge(CLK) then<br /> Q <= D;<br /> end if;<br />end process;<br /> <br />-- alternative DFF template:<br />process<br />begin<br /> wait until CLK='1';<br /> Q <= D; <br />end process;<br /> <br />-- alternative template expands the ''rising_edge'' function above:<br />process(CLK)<br />begin<br /> if CLK = '1' and CLK'event then--use rising edge, use "if CLK = '0' and CLK'event" instead for falling edge<br /> Q <= D;<br /> end if;<br />end process;<br />Some flip-flops also have asynchronous or synchronous Set and Reset signals:<br />-- "Textbook" template for asynchronous reset.<br />-- This style is prone to error if some signals assigned under the rising_edge <br />-- condition are omitted (either intentionally or mistakenly) under the reset <br />-- condition. Such signals will synthesize as flip-flops having feedback MUXes <br />-- or clock enables (see below), which was probably not intended.<br />-- This is very similar to the 'transparent latch' mistake mentioned earlier.<br />process(CLK, RESET)<br />begin<br /> if RESET = '1' then -- or '0' if RESET is active low...<br /> Q <= '0';<br /> elsif rising_edge(CLK) then<br /> Q <= D;<br /> end if;<br />end process;<br /> <br />-- A safer description of reset uses overwrite rather than <br />-- if-else semantics and avoids the gotcha described above:<br />process(CLK, RESET)<br />begin<br /> if rising_edge(CLK) then<br /> Q <= D;<br /> end if;<br /> if RESET = '1' then -- or '0' if RESET is active low...<br /> Q <= '0';<br /> end if;<br />end process;<br /> <br /> <br />-- template for synchronous reset:<br />process(CLK)<br />begin<br /> if rising_edge(CLK) then<br /> Q <= D;<br /> if RESET = '1' then -- or '0' if RESET is active low...<br /> Q <= '0';<br /> end if;<br /> end if;<br />end process;<br />Another common feature for flip-flops is an Enable signal:<br />-- template for flip-flop with clock enable:<br />process(CLK)<br />begin<br /> if rising_edge(CLK) then<br /> if Enable = '1' then -- or '0' if Enable is active low...<br /> Q <= D;<br /> end if;<br /> end if;<br />end process;<br />Flip-flops can also be described with a combination of features:<br />-- template with clock enable and asynchronous reset combined:<br />process(CLK, RESET)<br />begin<br /> if rising_edge(CLK) then<br /> if Enable = '1' then -- or '0' if Enable is active low...<br /> Q <= D;<br /> end if;<br /> end if;<br /> if RESET = '1' then -- or '0' if RESET is active low...<br /> Q <= '0';<br /> end if;<br />end process;<br /><br /><br />Contoh: counter<br />Contoh berikut adalah up-counter dengan reset asynchronous, beban paralel dan lebar dikonfigurasi. Ini menunjukkan penggunaan unsigned 'tipe' dan generik VHDL. Para generik sangat dekat dengan argumen atau template dalam bahasa pemrograman tradisional lainnya seperti C atau C + +.<br /> library IEEE;<br />use IEEE.std_logic_1164.all;<br />use IEEE.numeric_std.all; -- for the unsigned type<br /> <br />entity counter_example is<br />generic ( WIDTH : integer := 32);<br />port (<br /> CLK, RESET, LOAD : in std_logic;<br /> DATA : in unsigned(WIDTH-1 downto 0); <br /> Q : out unsigned(WIDTH-1 downto 0));<br />end entity counter_example;<br /> <br />architecture counter_example_a of counter_example is<br />signal cnt : unsigned(WIDTH-1 downto 0);<br />begin<br /> process(RESET, CLK) is<br /> begin<br /> if RESET = '1' then<br /> cnt <= (others => '0');<br /> elsif rising_edge(CLK) then<br /> if LOAD = '1' then<br /> cnt <= DATA;<br /> else<br /> cnt <= cnt + 1;<br /> end if;<br /> end if;<br /> end process;<br /> <br /> Q <= cnt;<br /> <br />end architecture counter_example_a;<br />Lebih counter kompleks dapat menambahkan jika / kemudian / lain laporan dalam elsif (CLK) rising_edge untuk menambahkan fungsi-fungsi lain, seperti menghitung memungkinkan, berhenti atau berguling di beberapa nilai hitung, menghasilkan sinyal output seperti sinyal jumlah terminal, dll Perawatan harus diambil dengan pemesanan dan bersarang kontrol tersebut jika digunakan bersama-sama, untuk menghasilkan prioritas yang diinginkan dan meminimalkan jumlah tingkat logika diperlukan.<br /><br />Simulasi hanya konstruksi<br />Sebuah subset besar VHDL tidak dapat diterjemahkan ke dalam perangkat keras. subset ini dikenal sebagai non-disintesis atau subset-satunya simulasi VHDL dan hanya dapat digunakan untuk prototipe, simulasi dan debugging. Sebagai contoh, kode berikut akan menghasilkan sebuah jam dengan frekuensi 50 MHz. Hal ini dapat, misalnya, akan digunakan untuk menggerakkan input jam dalam desain selama simulasi. Hal ini, bagaimanapun, simulasi hanya membangun dan tidak dapat diimplementasikan dalam perangkat keras. Dalam hardware sebenarnya, jam dihasilkan secara eksternal, bisa dikecilkan internal oleh logika pengguna atau perangkat keras khusus.<br />process<br />begin<br /> CLK <= '1'; wait for 10 ns;<br /> CLK <= '0'; wait for 10 ns;<br />end process;<br />Simulasi hanya konstruksi dapat digunakan untuk membangun bentuk gelombang kompleks dalam waktu yang sangat singkat. gelombang tersebut dapat digunakan, misalnya, sebagai vektor uji untuk desain yang kompleks atau sebagai prototipe dari beberapa logika disintesis yang akan diimplementasikan di masa depan.<br />process<br />begin<br /> wait until START = '1'; -- wait until START is high<br /> <br /> for i in 1 to 10 loop -- then wait for a few clock periods...<br /> wait until rising_edge(CLK);<br /> end loop;<br /> <br /> for i in 1 to 10 loop -- write numbers 1 to 10 to DATA, 1 every cycle<br /> DATA <= to_unsigned(i, 8);<br /> wait until rising_edge(CLK);<br /> end loop;<br /> <br /> -- wait until the output changes<br /> wait on RESULT;<br /> <br /> -- now raise ACK for clock period<br /> ACK <= '1';<br /> wait until rising_edge(CLK);<br /> ACK <= '0';<br /> <br /> <br /> -- and so on...<br />end process;<br /><br />Masa Depan<br />VHDL-200X sedang dikembangkan sebagai iterasi berikutnya untuk VHDL. Hal ini akan memungkinkan VHDL pengembang untuk menulis dikombinasikan Hardware Description Language danHardware Bahasa Verifikasi kode. VHDL-200X adalah alternatif VHDL untuk SystemVerilog .<br /><br />Sumber : http://en.wikipedia.org/wiki/VHDLMuhammad Fauzan (21108322)http://www.blogger.com/profile/12390985075520657914noreply@blogger.com0